diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-05-27 08:01:19 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-05-27 08:01:19 +0200 |
commit | df0b29650e93b3f1dd65517d26e6419657d2d5b6 (patch) | |
tree | e5d6bc5b4053a846d9d3d85162c676a29f77a6b9 /testsuite/synth/issue1342 | |
parent | 67f926fc1323c375d14fee36a092e39a92d505dd (diff) | |
download | ghdl-df0b29650e93b3f1dd65517d26e6419657d2d5b6.tar.gz ghdl-df0b29650e93b3f1dd65517d26e6419657d2d5b6.tar.bz2 ghdl-df0b29650e93b3f1dd65517d26e6419657d2d5b6.zip |
testsuite/synth: add a test for #1342
Diffstat (limited to 'testsuite/synth/issue1342')
-rw-r--r-- | testsuite/synth/issue1342/test_unop.vhdl | 25 | ||||
-rwxr-xr-x | testsuite/synth/issue1342/testsuite.sh | 9 |
2 files changed, 34 insertions, 0 deletions
diff --git a/testsuite/synth/issue1342/test_unop.vhdl b/testsuite/synth/issue1342/test_unop.vhdl new file mode 100644 index 000000000..a637eb1de --- /dev/null +++ b/testsuite/synth/issue1342/test_unop.vhdl @@ -0,0 +1,25 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity test_unop is + Port (vec_in: in STD_LOGIC_VECTOR(31 downto 0); + reduce_out_and: out STD_LOGIC; + reduce_out_nand: out STD_LOGIC; + reduce_out_or: out STD_LOGIC; + reduce_out_nor: out STD_LOGIC; + reduce_out_xor: out STD_LOGIC; + reduce_out_xnor: out STD_LOGIC); +end entity; + +architecture Behavioral of test_unop is +begin + process(vec_in) is + begin + reduce_out_and <= and vec_in; + reduce_out_nand <= nand vec_in; + reduce_out_or <= or vec_in; + reduce_out_nor <= nor vec_in; + reduce_out_xor <= xor vec_in; + reduce_out_xnor <= xnor vec_in; + end process; +end Behavioral; diff --git a/testsuite/synth/issue1342/testsuite.sh b/testsuite/synth/issue1342/testsuite.sh new file mode 100755 index 000000000..a7e48af0d --- /dev/null +++ b/testsuite/synth/issue1342/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS=--std=08 +synth_analyze test_unop +clean + +echo "Test successful" |