aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1316
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-17 09:13:04 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-17 09:13:04 +0200
commit4102926024ee05f09f02954c6defe50195711301 (patch)
treed748ed0afd7dce401001fd78bc63a053e67f57d7 /testsuite/synth/issue1316
parentc9ccdda1cd5f69252ebc386b4786982074eac5a0 (diff)
downloadghdl-4102926024ee05f09f02954c6defe50195711301.tar.gz
ghdl-4102926024ee05f09f02954c6defe50195711301.tar.bz2
ghdl-4102926024ee05f09f02954c6defe50195711301.zip
testsuite/synth: add a test for #1316
Diffstat (limited to 'testsuite/synth/issue1316')
-rw-r--r--testsuite/synth/issue1316/issue.vhdl17
-rwxr-xr-xtestsuite/synth/issue1316/testsuite.sh7
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/synth/issue1316/issue.vhdl b/testsuite/synth/issue1316/issue.vhdl
new file mode 100644
index 000000000..a76e5b95f
--- /dev/null
+++ b/testsuite/synth/issue1316/issue.vhdl
@@ -0,0 +1,17 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue is
+end issue;
+
+architecture beh of issue is
+
+ procedure foo is
+ variable cnt : integer;
+ begin
+ cnt := cnt - 1;
+ end procedure;
+
+begin
+ foo;
+end architecture beh;
diff --git a/testsuite/synth/issue1316/testsuite.sh b/testsuite/synth/issue1316/testsuite.sh
new file mode 100755
index 000000000..0eb271e62
--- /dev/null
+++ b/testsuite/synth/issue1316/testsuite.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+synth_failure issue.vhdl -e
+
+echo "Test successful"