aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1182
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-04 06:30:39 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-04 19:04:12 +0200
commit3e9569df5479a58cf6601678c96f720f2a6ba805 (patch)
tree9d6f0d7f0e2811b8b6f074bf56fc07e65ca5fdcb /testsuite/synth/issue1182
parent7dd90643ffceaaa61f71ecd19e51e898c31f6db7 (diff)
downloadghdl-3e9569df5479a58cf6601678c96f720f2a6ba805.tar.gz
ghdl-3e9569df5479a58cf6601678c96f720f2a6ba805.tar.bz2
ghdl-3e9569df5479a58cf6601678c96f720f2a6ba805.zip
testsuite/synth: add a test for #1182
Diffstat (limited to 'testsuite/synth/issue1182')
-rw-r--r--testsuite/synth/issue1182/issue.vhdl13
-rwxr-xr-xtestsuite/synth/issue1182/testsuite.sh7
2 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/issue1182/issue.vhdl b/testsuite/synth/issue1182/issue.vhdl
new file mode 100644
index 000000000..98b174e20
--- /dev/null
+++ b/testsuite/synth/issue1182/issue.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue is
+ generic (constant N : integer := 3);
+ port (foo : in std_logic;
+ bar : out std_logic_vector(7 downto 0));
+end issue;
+
+architecture beh of issue is
+begin
+ bar <= (N=>foo, others=>'0');
+end architecture;
diff --git a/testsuite/synth/issue1182/testsuite.sh b/testsuite/synth/issue1182/testsuite.sh
new file mode 100755
index 000000000..0eb271e62
--- /dev/null
+++ b/testsuite/synth/issue1182/testsuite.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+synth_failure issue.vhdl -e
+
+echo "Test successful"