aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1178
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-03-30 08:08:36 +0200
committerTristan Gingold <tgingold@free.fr>2020-03-30 08:08:36 +0200
commit42b91517f46ca9454a8ae3f4014d9eee49c48c4c (patch)
treeafa977c213b1ced361f89f9c1ebc1e384ed11b3c /testsuite/synth/issue1178
parent1d5f3070bc6ecd6074e2867d7079e232015d2658 (diff)
downloadghdl-42b91517f46ca9454a8ae3f4014d9eee49c48c4c.tar.gz
ghdl-42b91517f46ca9454a8ae3f4014d9eee49c48c4c.tar.bz2
ghdl-42b91517f46ca9454a8ae3f4014d9eee49c48c4c.zip
testsuite/synth: add test for #1178
Diffstat (limited to 'testsuite/synth/issue1178')
-rw-r--r--testsuite/synth/issue1178/issue1.vhdl14
-rwxr-xr-xtestsuite/synth/issue1178/testsuite.sh9
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/synth/issue1178/issue1.vhdl b/testsuite/synth/issue1178/issue1.vhdl
new file mode 100644
index 000000000..fcff6f370
--- /dev/null
+++ b/testsuite/synth/issue1178/issue1.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue1 is
+ port (foobar : in std_logic_vector(3 downto 0);
+ foo : out std_logic_vector(1 downto 0);
+ bar : out std_logic_vector(1 downto 0));
+end issue1;
+
+architecture behav of issue1 is
+begin
+ (foo, bar) <= foobar;
+end architecture;
+
diff --git a/testsuite/synth/issue1178/testsuite.sh b/testsuite/synth/issue1178/testsuite.sh
new file mode 100755
index 000000000..0e930337b
--- /dev/null
+++ b/testsuite/synth/issue1178/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+synth_analyze issue1
+clean
+
+echo "Test successful"