aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1176
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-04-09 22:06:28 +0200
committerTristan Gingold <tgingold@free.fr>2020-04-09 22:06:28 +0200
commit713cd87326302ee2ad750ec6d9d3a601f315e66b (patch)
treeb27ac55a924ff9f76e5ad681957fea12f79a8086 /testsuite/synth/issue1176
parent7bb42dba484fcbec66a97f613fa07a0299320443 (diff)
downloadghdl-713cd87326302ee2ad750ec6d9d3a601f315e66b.tar.gz
ghdl-713cd87326302ee2ad750ec6d9d3a601f315e66b.tar.bz2
ghdl-713cd87326302ee2ad750ec6d9d3a601f315e66b.zip
testsuite/synth: add case for #1176
Diffstat (limited to 'testsuite/synth/issue1176')
-rw-r--r--testsuite/synth/issue1176/issue.vhdl25
-rwxr-xr-xtestsuite/synth/issue1176/testsuite.sh8
2 files changed, 33 insertions, 0 deletions
diff --git a/testsuite/synth/issue1176/issue.vhdl b/testsuite/synth/issue1176/issue.vhdl
new file mode 100644
index 000000000..d732dca49
--- /dev/null
+++ b/testsuite/synth/issue1176/issue.vhdl
@@ -0,0 +1,25 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity issue is
+ port (clock : in std_logic;
+ bar : out signed(8-1 downto 0));
+end issue;
+
+architecture rtl of issue is
+begin
+
+ process (clock)
+ variable foo : signed(8-1 downto 0);
+ begin
+ if rising_edge(clock) then
+ foo := (others=>'0');
+ foo := foo + 1;
+ end if;
+
+ bar <= foo;
+ end process;
+
+end architecture;
+
diff --git a/testsuite/synth/issue1176/testsuite.sh b/testsuite/synth/issue1176/testsuite.sh
new file mode 100755
index 000000000..30f40648a
--- /dev/null
+++ b/testsuite/synth/issue1176/testsuite.sh
@@ -0,0 +1,8 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+synth_analyze issue
+clean
+
+echo "Test successful"