aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1172
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-03-23 19:03:17 +0100
committerTristan Gingold <tgingold@free.fr>2020-03-23 19:03:17 +0100
commit8982646305a71ab14057f84e80ee504c8b7d2c67 (patch)
tree2a1d975548afd9dca7d28019901470bfeea6a44e /testsuite/synth/issue1172
parenta133f0a51362d7b2134277458e26984c711e2fb2 (diff)
downloadghdl-8982646305a71ab14057f84e80ee504c8b7d2c67.tar.gz
ghdl-8982646305a71ab14057f84e80ee504c8b7d2c67.tar.bz2
ghdl-8982646305a71ab14057f84e80ee504c8b7d2c67.zip
testsuite/synth: add a test for #1172
Diffstat (limited to 'testsuite/synth/issue1172')
-rw-r--r--testsuite/synth/issue1172/test_module.vhdl7
-rwxr-xr-xtestsuite/synth/issue1172/testsuite.sh8
2 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/synth/issue1172/test_module.vhdl b/testsuite/synth/issue1172/test_module.vhdl
new file mode 100644
index 000000000..b3d8f9bab
--- /dev/null
+++ b/testsuite/synth/issue1172/test_module.vhdl
@@ -0,0 +1,7 @@
+entity test_module is
+end entity test_module;
+
+architecture rtl of test_module is
+ constant CONSTANT1, CONSTANT2 : integer := 4;
+begin
+end architecture rtl;
diff --git a/testsuite/synth/issue1172/testsuite.sh b/testsuite/synth/issue1172/testsuite.sh
new file mode 100755
index 000000000..7e7b54b4e
--- /dev/null
+++ b/testsuite/synth/issue1172/testsuite.sh
@@ -0,0 +1,8 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+synth_analyze test_module
+clean
+
+echo "Test successful"