aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1043/testsuite.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-28 18:39:43 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-28 18:40:28 +0100
commit05a0a9c919e70079e93a2608020f8a1042bb7dc9 (patch)
tree58321ea58611e88f48da0791c98e373d5e1ce658 /testsuite/synth/issue1043/testsuite.sh
parent9272b09a4fdac810bdd1a1aedb4d7fcc2604c9e1 (diff)
downloadghdl-05a0a9c919e70079e93a2608020f8a1042bb7dc9.tar.gz
ghdl-05a0a9c919e70079e93a2608020f8a1042bb7dc9.tar.bz2
ghdl-05a0a9c919e70079e93a2608020f8a1042bb7dc9.zip
testsuite: add test case for #1043
Diffstat (limited to 'testsuite/synth/issue1043/testsuite.sh')
-rwxr-xr-xtestsuite/synth/issue1043/testsuite.sh12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/synth/issue1043/testsuite.sh b/testsuite/synth/issue1043/testsuite.sh
new file mode 100755
index 000000000..bc454cfda
--- /dev/null
+++ b/testsuite/synth/issue1043/testsuite.sh
@@ -0,0 +1,12 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for t in ent; do
+ synth $t.vhdl -e $t > syn_$t.vhdl
+ analyze syn_$t.vhdl
+done
+
+clean
+
+echo "Test successful"