aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1036
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-28 06:26:42 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-28 06:26:42 +0100
commit95801a5144f62bb45c60d6d04a0ec479bb97a693 (patch)
tree5650350c5ea848e0b302c19f1c9112e5ce724a7b /testsuite/synth/issue1036
parent45fd84fcfce9e949223f9e8c537ebb7bb6f2699c (diff)
downloadghdl-95801a5144f62bb45c60d6d04a0ec479bb97a693.tar.gz
ghdl-95801a5144f62bb45c60d6d04a0ec479bb97a693.tar.bz2
ghdl-95801a5144f62bb45c60d6d04a0ec479bb97a693.zip
testsuite: add case for #1036
Diffstat (limited to 'testsuite/synth/issue1036')
-rw-r--r--testsuite/synth/issue1036/ent.vhdl16
-rwxr-xr-xtestsuite/synth/issue1036/testsuite.sh12
2 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/synth/issue1036/ent.vhdl b/testsuite/synth/issue1036/ent.vhdl
new file mode 100644
index 000000000..534c32b56
--- /dev/null
+++ b/testsuite/synth/issue1036/ent.vhdl
@@ -0,0 +1,16 @@
+entity ent is
+end ent;
+
+architecture a of ent is
+ signal s : string(1 to 3);
+begin
+ s <= "abc";
+
+ process(all)
+ begin
+ case s is
+ when "abc" =>
+ when others =>
+ end case;
+ end process;
+end a;
diff --git a/testsuite/synth/issue1036/testsuite.sh b/testsuite/synth/issue1036/testsuite.sh
new file mode 100755
index 000000000..ed8a512fa
--- /dev/null
+++ b/testsuite/synth/issue1036/testsuite.sh
@@ -0,0 +1,12 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+for t in ent; do
+ synth $t.vhdl -e $t > syn_$t.vhdl
+done
+
+clean
+
+echo "Test successful"