aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1035
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-27 20:30:37 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-27 20:30:37 +0100
commit4e4a7bb439596bac36488322cb190afc4efd935b (patch)
tree9c37a483aa3172935b726cdb65def2f5f71fec1c /testsuite/synth/issue1035
parent96fa0501d17bd6931973c61e05bc00ff24a38ce3 (diff)
downloadghdl-4e4a7bb439596bac36488322cb190afc4efd935b.tar.gz
ghdl-4e4a7bb439596bac36488322cb190afc4efd935b.tar.bz2
ghdl-4e4a7bb439596bac36488322cb190afc4efd935b.zip
testsuite: add case for #1035
Diffstat (limited to 'testsuite/synth/issue1035')
-rw-r--r--testsuite/synth/issue1035/ent.vhdl16
-rwxr-xr-xtestsuite/synth/issue1035/testsuite.sh13
2 files changed, 29 insertions, 0 deletions
diff --git a/testsuite/synth/issue1035/ent.vhdl b/testsuite/synth/issue1035/ent.vhdl
new file mode 100644
index 000000000..9c8eb0b4e
--- /dev/null
+++ b/testsuite/synth/issue1035/ent.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent is
+end ent;
+
+architecture a of ent is
+ constant c : std_logic_vector(7 downto 0) := x"00";
+begin
+ process(all)
+ begin
+ case c is
+ when others =>
+ end case;
+ end process;
+end a;
diff --git a/testsuite/synth/issue1035/testsuite.sh b/testsuite/synth/issue1035/testsuite.sh
new file mode 100755
index 000000000..730cf6d16
--- /dev/null
+++ b/testsuite/synth/issue1035/testsuite.sh
@@ -0,0 +1,13 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+for t in ent; do
+ synth $t.vhdl -e $t > syn_$t.vhdl
+ analyze syn_$t.vhdl
+done
+
+clean
+
+echo "Test successful"