aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/fsm03/ent.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-10-31 18:28:53 +0100
committerTristan Gingold <tgingold@free.fr>2019-10-31 18:28:53 +0100
commit6aa790b8d8ec7f3afa20fec929acaabb48ed8ca8 (patch)
treedb5160491bbf6f91be1c5c553be7ef1ebe10f69b /testsuite/synth/fsm03/ent.vhdl
parent5790c35aaa4e69e421367850e59cd676f1c54787 (diff)
downloadghdl-6aa790b8d8ec7f3afa20fec929acaabb48ed8ca8.tar.gz
ghdl-6aa790b8d8ec7f3afa20fec929acaabb48ed8ca8.tar.bz2
ghdl-6aa790b8d8ec7f3afa20fec929acaabb48ed8ca8.zip
testsuite/synth: add testcase for psl.
Diffstat (limited to 'testsuite/synth/fsm03/ent.vhdl')
-rw-r--r--testsuite/synth/fsm03/ent.vhdl31
1 files changed, 31 insertions, 0 deletions
diff --git a/testsuite/synth/fsm03/ent.vhdl b/testsuite/synth/fsm03/ent.vhdl
new file mode 100644
index 000000000..46adc93d8
--- /dev/null
+++ b/testsuite/synth/fsm03/ent.vhdl
@@ -0,0 +1,31 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent is
+ port (
+ clk : std_logic;
+ req : std_logic;
+ val : std_logic;
+ ack : out std_logic);
+end ent;
+
+architecture behav of ent is
+ signal cnt : natural range 0 to 5;
+begin
+ process (clk)
+ begin
+ if rising_edge(clk) then
+ if cnt < 5 then
+ cnt <= cnt + 1;
+ else
+ cnt <= 0;
+ end if;
+ if req = '1' and cnt = 0 then
+ ack <= '1';
+ else
+ ack <= '0';
+ end if;
+ end if;
+ end process;
+end behav;
+