aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/exit01/testsuite.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-09-22 16:10:06 +0200
committerTristan Gingold <tgingold@free.fr>2019-09-22 16:10:06 +0200
commit1682d41d2faa1dcce87ec55a322695020ea0f751 (patch)
treea5481ffaddb19189848fdeb43854344e63cccb3f /testsuite/synth/exit01/testsuite.sh
parent1e899e11745d7a37e5c39112c31392459128a6d6 (diff)
downloadghdl-1682d41d2faa1dcce87ec55a322695020ea0f751.tar.gz
ghdl-1682d41d2faa1dcce87ec55a322695020ea0f751.tar.bz2
ghdl-1682d41d2faa1dcce87ec55a322695020ea0f751.zip
testsuite/synyh: add a test for exit statement.
Diffstat (limited to 'testsuite/synth/exit01/testsuite.sh')
-rwxr-xr-xtestsuite/synth/exit01/testsuite.sh16
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/synth/exit01/testsuite.sh b/testsuite/synth/exit01/testsuite.sh
new file mode 100755
index 000000000..a8a8026f7
--- /dev/null
+++ b/testsuite/synth/exit01/testsuite.sh
@@ -0,0 +1,16 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for t in exit01 exit02; do
+ analyze $t.vhdl tb_$t.vhdl
+ elab_simulate tb_$t
+ clean
+
+ synth $t.vhdl -e $t > syn_$t.vhdl
+ analyze syn_$t.vhdl tb_$t.vhdl
+ elab_simulate tb_$t --ieee-asserts=disable-at-0
+ clean
+done
+
+echo "Test successful"