aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff01/tb_dff01.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-06-29 11:37:35 +0200
committerTristan Gingold <tgingold@free.fr>2019-06-29 11:37:35 +0200
commit4d71e55689449b49b6732e26a34469188564f8b4 (patch)
tree0e24456ef5086a1fea2945894a69cb0998209cfd /testsuite/synth/dff01/tb_dff01.vhdl
parent5fc2b23c0a27e281d3c1f1927379aa1fd9300df0 (diff)
downloadghdl-4d71e55689449b49b6732e26a34469188564f8b4.tar.gz
ghdl-4d71e55689449b49b6732e26a34469188564f8b4.tar.bz2
ghdl-4d71e55689449b49b6732e26a34469188564f8b4.zip
testsuite/synth/dff01: add testbenches.
Diffstat (limited to 'testsuite/synth/dff01/tb_dff01.vhdl')
-rw-r--r--testsuite/synth/dff01/tb_dff01.vhdl40
1 files changed, 40 insertions, 0 deletions
diff --git a/testsuite/synth/dff01/tb_dff01.vhdl b/testsuite/synth/dff01/tb_dff01.vhdl
new file mode 100644
index 000000000..7008a8b95
--- /dev/null
+++ b/testsuite/synth/dff01/tb_dff01.vhdl
@@ -0,0 +1,40 @@
+entity tb_dff01 is
+end tb_dff01;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_dff01 is
+ signal clk : std_logic;
+ signal din : std_logic;
+ signal dout : std_logic;
+begin
+ dut: entity work.dff01
+ port map (
+ q => dout,
+ d => din,
+ clk => clk);
+
+ process
+ procedure pulse is
+ begin
+ clk <= '0';
+ wait for 1 ns;
+ clk <= '1';
+ wait for 1 ns;
+ end pulse;
+ begin
+ din <= '0';
+ pulse;
+ assert dout = '0' severity failure;
+ din <= '1';
+ pulse;
+ assert dout = '1' severity failure;
+ pulse;
+ assert dout = '1' severity failure;
+ din <= '0';
+ pulse;
+ assert dout = '0' severity failure;
+ wait;
+ end process;
+end behav;