aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/conv01
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-03-11 21:20:24 +0100
committerTristan Gingold <tgingold@free.fr>2020-03-13 06:30:29 +0100
commitb9002fd5406696c5c7410ae5389ebbe50a51ab9b (patch)
tree64dd5bf5401a371aa00a0b81e71b4b6bdaec4bcf /testsuite/synth/conv01
parent690024a625339dc3aea698b4f30b7ceb41cab2e9 (diff)
downloadghdl-b9002fd5406696c5c7410ae5389ebbe50a51ab9b.tar.gz
ghdl-b9002fd5406696c5c7410ae5389ebbe50a51ab9b.tar.bz2
ghdl-b9002fd5406696c5c7410ae5389ebbe50a51ab9b.zip
testsuite/synth: add a test for previous commit.
Diffstat (limited to 'testsuite/synth/conv01')
-rw-r--r--testsuite/synth/conv01/conv01.vhdl12
-rwxr-xr-xtestsuite/synth/conv01/testsuite.sh10
2 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/conv01/conv01.vhdl b/testsuite/synth/conv01/conv01.vhdl
new file mode 100644
index 000000000..f4cd8bab9
--- /dev/null
+++ b/testsuite/synth/conv01/conv01.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity conv01 is
+ port (a, b : in std_logic;
+ z : out std_logic);
+end conv01;
+
+architecture behav of conv01 is
+begin
+ z <= not a and std_logic(b);
+end behav;
diff --git a/testsuite/synth/conv01/testsuite.sh b/testsuite/synth/conv01/testsuite.sh
new file mode 100755
index 000000000..7de4702f2
--- /dev/null
+++ b/testsuite/synth/conv01/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for t in conv01; do
+ synth_analyze $t
+ clean
+done
+
+echo "Test successful"