aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/libghdl/Initialize.py
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-06 00:44:33 +0100
committertgingold <tgingold@users.noreply.github.com>2022-12-06 07:42:53 +0100
commit3173d9e0bc3fc3a6b6abe1296190867c3154cf5a (patch)
tree347aabe6998e6a74220912260e3d1bedda969129 /testsuite/pyunit/libghdl/Initialize.py
parent9824b3c9a8d2103a5ecd77ff957536fa16c24bbf (diff)
downloadghdl-3173d9e0bc3fc3a6b6abe1296190867c3154cf5a.tar.gz
ghdl-3173d9e0bc3fc3a6b6abe1296190867c3154cf5a.tar.bz2
ghdl-3173d9e0bc3fc3a6b6abe1296190867c3154cf5a.zip
Enhanced SimpleEntity with code comments.
Diffstat (limited to 'testsuite/pyunit/libghdl/Initialize.py')
-rw-r--r--testsuite/pyunit/libghdl/Initialize.py8
1 files changed, 4 insertions, 4 deletions
diff --git a/testsuite/pyunit/libghdl/Initialize.py b/testsuite/pyunit/libghdl/Initialize.py
index 2fd09965b..7e3ace869 100644
--- a/testsuite/pyunit/libghdl/Initialize.py
+++ b/testsuite/pyunit/libghdl/Initialize.py
@@ -52,17 +52,17 @@ class Instantiate(TestCase):
if nodes.Get_Kind(libraryUnit) == nodes.Iir_Kind.Entity_Declaration:
entityName = self.getIdentifier(libraryUnit)
self.assertEqual(
+ "counter",
entityName,
- "entity_1",
- "expected entity name 'e1', got '{}'".format(entityName),
+ "expected entity name 'counter', got '{}'".format(entityName),
)
elif nodes.Get_Kind(libraryUnit) == nodes.Iir_Kind.Architecture_Body:
architectureName = self.getIdentifier(libraryUnit)
self.assertEqual(
+ "rtl",
architectureName,
- "behav",
- "expected architecture name 'behav', got '{}'".format(
+ "expected architecture name 'rtl', got '{}'".format(
architectureName
),
)