aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/Current.vhdl
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-08-26 21:08:02 +0200
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-08-26 21:08:13 +0200
commita7d20ad12d778278452e4ed0351bb98ea73da949 (patch)
tree000e9e6cfa1e54f38e2ebf6826041e45b7ab81a0 /testsuite/pyunit/Current.vhdl
parentcb0ec4a5d35d6eb071864f42adefd8d4e2ebaabb (diff)
downloadghdl-a7d20ad12d778278452e4ed0351bb98ea73da949.tar.gz
ghdl-a7d20ad12d778278452e4ed0351bb98ea73da949.tar.bz2
ghdl-a7d20ad12d778278452e4ed0351bb98ea73da949.zip
Added concurrent (PSL) assertion.
Diffstat (limited to 'testsuite/pyunit/Current.vhdl')
-rw-r--r--testsuite/pyunit/Current.vhdl4
1 files changed, 4 insertions, 0 deletions
diff --git a/testsuite/pyunit/Current.vhdl b/testsuite/pyunit/Current.vhdl
index c7ce678b3..96e9433d9 100644
--- a/testsuite/pyunit/Current.vhdl
+++ b/testsuite/pyunit/Current.vhdl
@@ -134,6 +134,10 @@ begin
a <= b;
+ assert false;
+ assert false report "some error";
+ assert false severity warning;
+ assert false report "some note" severity note;
inst1: entity work.counter1(rtl)
generic map (