aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2023-01-06 20:22:35 +0100
committerTristan Gingold <tgingold@free.fr>2023-01-06 20:22:35 +0100
commita0cc0a8059b97339c158a87937461676fcb87dae (patch)
tree945d11007b246c2242b17fb803eacf82e98080b2 /testsuite/gna
parent7271dab481f4caf0d3eb248c3ee65d87eaa6564c (diff)
downloadghdl-a0cc0a8059b97339c158a87937461676fcb87dae.tar.gz
ghdl-a0cc0a8059b97339c158a87937461676fcb87dae.tar.bz2
ghdl-a0cc0a8059b97339c158a87937461676fcb87dae.zip
testsuite/gna: add a test for #2200
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue2200/a.vhdl11
-rwxr-xr-xtestsuite/gna/issue2200/testsuite.sh10
2 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/issue2200/a.vhdl b/testsuite/gna/issue2200/a.vhdl
new file mode 100644
index 000000000..a4c90f73e
--- /dev/null
+++ b/testsuite/gna/issue2200/a.vhdl
@@ -0,0 +1,11 @@
+library ieee;
+use ieee.std_logic_arith.ALL;
+use ieee.numeric_std.all;
+use ieee.std_logic_textio.all;
+
+entity a is
+end entity a;
+
+architecture RTL of a is
+begin
+end architecture RTL;
diff --git a/testsuite/gna/issue2200/testsuite.sh b/testsuite/gna/issue2200/testsuite.sh
new file mode 100755
index 000000000..6aa45cf92
--- /dev/null
+++ b/testsuite/gna/issue2200/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+$GHDL -i --ieee=synopsys --warn-unused a.vhdl
+$GHDL -m --ieee=synopsys --warn-unused -Werror a
+
+clean
+
+echo "Test successful"