aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket50/ent2.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-05-11 00:57:06 +0200
committerTristan Gingold <tgingold@free.fr>2015-05-11 00:57:06 +0200
commit4fb0d372c17309ed4c5e2f011d8fa11e89f3295e (patch)
treebb102a71365ec7804fb3fb686f54cf118b79ef93 /testsuite/gna/ticket50/ent2.vhdl
parentc89a5680b88cf17056595d48e67fc28ba18f6910 (diff)
downloadghdl-4fb0d372c17309ed4c5e2f011d8fa11e89f3295e.tar.gz
ghdl-4fb0d372c17309ed4c5e2f011d8fa11e89f3295e.tar.bz2
ghdl-4fb0d372c17309ed4c5e2f011d8fa11e89f3295e.zip
Add ticket50 testcase.
Diffstat (limited to 'testsuite/gna/ticket50/ent2.vhdl')
-rw-r--r--testsuite/gna/ticket50/ent2.vhdl23
1 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/ticket50/ent2.vhdl b/testsuite/gna/ticket50/ent2.vhdl
new file mode 100644
index 000000000..e8a0aba81
--- /dev/null
+++ b/testsuite/gna/ticket50/ent2.vhdl
@@ -0,0 +1,23 @@
+entity ent is
+end entity;
+
+package pkg1 is
+ function cond return boolean;
+end pkg1;
+
+package pkg2 is
+ function cond return boolean;
+end pkg2;
+
+use work.pkg1.all;
+use work.pkg2.all;
+
+architecture a of ent is
+ type enum_t is (cond);
+begin
+ main : process
+ begin
+ if cond then
+ end if;
+ end process;
+end architecture;