aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket32/muxcy.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-01-13 20:19:51 +0100
committerTristan Gingold <tgingold@free.fr>2015-01-13 20:19:51 +0100
commit8ab3c6e57e7f11eb79d1d7f948fb29a16225c9f2 (patch)
tree54ce28fafc6fe90c339381d055342b06d16c9f74 /testsuite/gna/ticket32/muxcy.vhdl
parentd5a8c6796715ec5effeb620ec660f996cf2446f9 (diff)
downloadghdl-8ab3c6e57e7f11eb79d1d7f948fb29a16225c9f2.tar.gz
ghdl-8ab3c6e57e7f11eb79d1d7f948fb29a16225c9f2.tar.bz2
ghdl-8ab3c6e57e7f11eb79d1d7f948fb29a16225c9f2.zip
Add reproducer from ticket #32.
Diffstat (limited to 'testsuite/gna/ticket32/muxcy.vhdl')
-rw-r--r--testsuite/gna/ticket32/muxcy.vhdl12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/gna/ticket32/muxcy.vhdl b/testsuite/gna/ticket32/muxcy.vhdl
new file mode 100644
index 000000000..d9c912f0f
--- /dev/null
+++ b/testsuite/gna/ticket32/muxcy.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity muxcy is
+ port (s, di, ci : std_logic;
+ o : out std_logic);
+end muxcy;
+
+architecture behav of muxcy is
+begin
+ o <= di when s = '0' else ci;
+end behav;