aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket30
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-10-23 07:51:44 +0200
committerTristan Gingold <tgingold@free.fr>2015-10-23 07:51:44 +0200
commit978cf0e8a286d2cec52d8f33a70040dce8610bdb (patch)
tree46a14c64033ec1e2909bd3c12296c5ee2be4a2e6 /testsuite/gna/ticket30
parente59043ad0cea266574fa9bb5fa8cac55a3956cad (diff)
downloadghdl-978cf0e8a286d2cec52d8f33a70040dce8610bdb.tar.gz
ghdl-978cf0e8a286d2cec52d8f33a70040dce8610bdb.tar.bz2
ghdl-978cf0e8a286d2cec52d8f33a70040dce8610bdb.zip
testsuite: allow spaces in GHDL variable.
Diffstat (limited to 'testsuite/gna/ticket30')
-rwxr-xr-xtestsuite/gna/ticket30/testsuite.sh4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/gna/ticket30/testsuite.sh b/testsuite/gna/ticket30/testsuite.sh
index 47e207682..78d21cc8b 100755
--- a/testsuite/gna/ticket30/testsuite.sh
+++ b/testsuite/gna/ticket30/testsuite.sh
@@ -2,8 +2,8 @@
. ../../testenv.sh
-$GHDL -i lib_numeric_tb.vhd
-$GHDL -m numeric_tb
+"$GHDL" -i lib_numeric_tb.vhd
+"$GHDL" -m numeric_tb
simulate numeric_tb --stop-time=10ns --wave=numeric_tb.ghw \
--sdf=typ==lib_numeric_tb.sdf