diff options
author | Tristan Gingold <tgingold@free.fr> | 2018-06-19 06:41:31 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2018-06-19 06:41:31 +0200 |
commit | c00074985783c13dce54de3814c39e76cf269268 (patch) | |
tree | d92e40eaefe5494c2f0e0bad042032595f1ec4ce /testsuite/gna/issue606/repro1b.vhdl | |
parent | 3a9ebc277637dcfc029038caf6b37fb7580d409d (diff) | |
download | ghdl-c00074985783c13dce54de3814c39e76cf269268.tar.gz ghdl-c00074985783c13dce54de3814c39e76cf269268.tar.bz2 ghdl-c00074985783c13dce54de3814c39e76cf269268.zip |
Add reproducer for #606
Diffstat (limited to 'testsuite/gna/issue606/repro1b.vhdl')
-rw-r--r-- | testsuite/gna/issue606/repro1b.vhdl | 17 |
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/issue606/repro1b.vhdl b/testsuite/gna/issue606/repro1b.vhdl new file mode 100644 index 000000000..6e6814bbb --- /dev/null +++ b/testsuite/gna/issue606/repro1b.vhdl @@ -0,0 +1,17 @@ +entity repro1b is + generic (depth : natural); +end repro1b; + +architecture behav of repro1b is + component repro1a is + generic (depth : natural); + end component; +begin + assert false report "repro1b: depth = " & natural'image (depth) + severity note; + + g : if depth > 0 generate + comp1b : repro1a + generic map (depth => depth - 1); + end generate; +end behav; |