diff options
author | Tristan Gingold <tgingold@free.fr> | 2018-04-21 18:09:03 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2018-04-21 18:14:12 +0200 |
commit | d239d7e5acdf8761b024626e70f174016563a804 (patch) | |
tree | 402348b8a1a767a0ac4dd54d4e62f316d857c63d /testsuite/gna/issue558 | |
parent | c24b3f387231d2f356ef659e2be8b4c9fea1fdf2 (diff) | |
download | ghdl-d239d7e5acdf8761b024626e70f174016563a804.tar.gz ghdl-d239d7e5acdf8761b024626e70f174016563a804.tar.bz2 ghdl-d239d7e5acdf8761b024626e70f174016563a804.zip |
Add testcase for #558
Diffstat (limited to 'testsuite/gna/issue558')
-rw-r--r-- | testsuite/gna/issue558/repro.vhdl | 16 | ||||
-rwxr-xr-x | testsuite/gna/issue558/testsuite.sh | 9 |
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/issue558/repro.vhdl b/testsuite/gna/issue558/repro.vhdl new file mode 100644 index 000000000..ab51eef29 --- /dev/null +++ b/testsuite/gna/issue558/repro.vhdl @@ -0,0 +1,16 @@ +library ieee; +use ieee.std_logic_1164.all; + +package repro is + type SPIrecGeneric is record + BIT : positive; + end record; + + type SPI_Rec_input is record + SPI_Data_in : std_logic_vector (SPIrecGeneric.BIT-1 downto 0); + end record; + + type SPI_Rec_Output is record + SPI_Data_out : std_logic_vector (SPIrecGeneric.BIT-1 downto 0); + end record; +end repro; diff --git a/testsuite/gna/issue558/testsuite.sh b/testsuite/gna/issue558/testsuite.sh new file mode 100755 index 000000000..5defdcf1e --- /dev/null +++ b/testsuite/gna/issue558/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze_failure repro.vhdl + +clean + +echo "Test successful" |