aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue531/test.py
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-02-25 08:28:45 +0100
committerTristan Gingold <tgingold@free.fr>2018-02-25 08:28:45 +0100
commit8c8b6285bd3532c2f158e33885ea5984dc62270b (patch)
treed18c47ff977796f398e29de22bdc80899f28ae2a /testsuite/gna/issue531/test.py
parent39d357cdff5f78e3727db50b40b259d033670d7f (diff)
downloadghdl-8c8b6285bd3532c2f158e33885ea5984dc62270b.tar.gz
ghdl-8c8b6285bd3532c2f158e33885ea5984dc62270b.tar.bz2
ghdl-8c8b6285bd3532c2f158e33885ea5984dc62270b.zip
Add testcase for #531
Diffstat (limited to 'testsuite/gna/issue531/test.py')
-rw-r--r--testsuite/gna/issue531/test.py32
1 files changed, 32 insertions, 0 deletions
diff --git a/testsuite/gna/issue531/test.py b/testsuite/gna/issue531/test.py
new file mode 100644
index 000000000..5a3c55678
--- /dev/null
+++ b/testsuite/gna/issue531/test.py
@@ -0,0 +1,32 @@
+#!/usr/bin/python2.7
+from __future__ import print_function
+
+import cocotb
+from cocotb.clock import Clock
+from cocotb.triggers import Timer, RisingEdge
+
+@cocotb.test(timeout=None)
+def proto(dut):
+ CLK_PERIOD = 15
+
+ dut.arg_a <= 0
+ dut.arg_b <= 0
+
+ dut_clk = Clock(dut.clk, CLK_PERIOD, 'ns')
+ clk = cocotb.fork(dut_clk.start())
+
+ dut.reset <= 1
+ for i in range(2):
+ yield RisingEdge(dut.clk)
+ dut.reset <= 0
+ for i in range(2):
+ yield RisingEdge(dut.clk)
+ yield Timer(1)
+ dut.arg_a <= 8
+ dut.arg_b <= 6
+ for i in range(5):
+ yield RisingEdge(dut.clk)
+ print('Value in dut:', dut.sub_module.arg.value)
+ yield Timer(1)
+ dut.arg_a <= 8 + i
+ dut.arg_b <= 6 - i