aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue50/idct.d/cmp_203.vhd
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-04-02 07:40:37 +0200
committerTristan Gingold <tgingold@free.fr>2016-04-02 07:40:37 +0200
commit66e31d6d8c4faab0aeadee87298f13a3b67adbfb (patch)
treeaf863500c6e1ddf22321538a6c51247fccd57704 /testsuite/gna/issue50/idct.d/cmp_203.vhd
parent122fa90fb7e5c15b58efa100da828c1e879b4e4e (diff)
downloadghdl-66e31d6d8c4faab0aeadee87298f13a3b67adbfb.tar.gz
ghdl-66e31d6d8c4faab0aeadee87298f13a3b67adbfb.tar.bz2
ghdl-66e31d6d8c4faab0aeadee87298f13a3b67adbfb.zip
Add testcase for issue50.
Diffstat (limited to 'testsuite/gna/issue50/idct.d/cmp_203.vhd')
-rw-r--r--testsuite/gna/issue50/idct.d/cmp_203.vhd26
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/issue50/idct.d/cmp_203.vhd b/testsuite/gna/issue50/idct.d/cmp_203.vhd
new file mode 100644
index 000000000..6f04c559a
--- /dev/null
+++ b/testsuite/gna/issue50/idct.d/cmp_203.vhd
@@ -0,0 +1,26 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity cmp_203 is
+ port (
+ eq : out std_logic;
+ in0 : in std_logic;
+ in1 : in std_logic
+ );
+end cmp_203;
+
+architecture augh of cmp_203 is
+
+ signal tmp : std_logic;
+
+begin
+
+ -- Compute the result
+ tmp <=
+ '0' when in0 /= in1 else
+ '1';
+
+ -- Set the outputs
+ eq <= tmp;
+
+end architecture;