diff options
author | umarcor <unai.martinezcorral@ehu.eus> | 2021-04-23 01:42:48 +0200 |
---|---|---|
committer | tgingold <tgingold@users.noreply.github.com> | 2021-04-23 20:38:47 +0200 |
commit | 1ef548dea6ad8f8e2b445dc96fe9eca1e5bcac88 (patch) | |
tree | 79dc323fc1dc3862ef71bcbabfe2d45552e5688b /testsuite/gna/issue332 | |
parent | 4a11f41e67adb67f7b29a4492ca3a2b8ddef2b91 (diff) | |
download | ghdl-1ef548dea6ad8f8e2b445dc96fe9eca1e5bcac88.tar.gz ghdl-1ef548dea6ad8f8e2b445dc96fe9eca1e5bcac88.tar.bz2 ghdl-1ef548dea6ad8f8e2b445dc96fe9eca1e5bcac88.zip |
testsuite/gna: cleanup ghw related issues
Diffstat (limited to 'testsuite/gna/issue332')
-rw-r--r-- | testsuite/gna/issue332/golden_rec.txt | 44 | ||||
-rw-r--r-- | testsuite/gna/issue332/golden_sim.txt | 11950 | ||||
-rwxr-xr-x | testsuite/gna/issue332/testsuite.sh | 8 |
3 files changed, 11998 insertions, 4 deletions
diff --git a/testsuite/gna/issue332/golden_rec.txt b/testsuite/gna/issue332/golden_rec.txt new file mode 100644 index 000000000..76e205da7 --- /dev/null +++ b/testsuite/gna/issue332/golden_rec.txt @@ -0,0 +1,44 @@ +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type bit is ('0', '1'); -- WKT:2 +type bit_vector is array (natural range <>) of bit; +type my_rec is record + s: natural; + b: bit_vector; + c: bit_vector; +end record; +design + package standard: + instance repro_rec: + signal r: my_rec(b (1 to 3), c (2 to 3)): #1-#6 + signal a: bit_vector (0 to 1): #7-#8 + process P0: + block blk: + port-in a1: bit_vector (0 to 1): #7-#8 +Time is 0 fs +#1: 0 +#2: '0' (0) +#3: '0' (0) +#4: '0' (0) +#5: '0' (0) +#6: '0' (0) +#7: '0' (0) +#8: '0' (0) +Time is 0 fs +#1: 1 +#2: '0' (0) +#3: '1' (1) +#4: '0' (0) +#5: '0' (0) +#6: '0' (0) +#7: '0' (0) +#8: '0' (0) +Time is 1000000 fs +#1: 1 +#2: '1' (1) +#3: '0' (0) +#4: '1' (1) +#5: '0' (0) +#6: '0' (0) +#7: '0' (0) +#8: '0' (0) diff --git a/testsuite/gna/issue332/golden_sim.txt b/testsuite/gna/issue332/golden_sim.txt new file mode 100644 index 000000000..848f31460 --- /dev/null +++ b/testsuite/gna/issue332/golden_sim.txt @@ -0,0 +1,11950 @@ +type std_ulogic is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'); -- WKT:3 +subtype std_logic is std_ulogic range 'U' to '-'; +type integer is range <>; +subtype natural is integer range 0 to 2147483647; +type unresolved_unsigned is array (natural range <>) of std_ulogic; +type std_ulogic_vector is array (natural range <>) of std_ulogic; +type t_p2c is record + rw_ier: std_ulogic_vector (5 downto 0); + awt_itr: std_ulogic_vector (5 downto 0); + awt_icr: std_ulogic_vector (5 downto 0); + awt_irq2cpu_ena: std_logic; + awt_irq2cpu_disable: std_logic; +end record; +type t_c2p is record + aro_irr: std_ulogic_vector (5 downto 0); + aro_ipr: std_ulogic_vector (5 downto 0); + aro_irq2cpu_allowed: std_logic; +end record; +subtype unsigned is unresolved_unsigned; +subtype std_logic_vector is std_ulogic_vector; +type t_sbi_if is record + cs: std_logic; + addr: unsigned; + rd: std_logic; + wr: std_logic; + wdata: std_logic_vector; + ready: std_logic; + rdata: std_logic_vector; +end record; +design + package standard: + package textio: + package std_logic_1164: + package numeric_std: + package env: + package irqc_pif_pkg: + package ilos_sim_pkg: + instance tb_irqc: + signal arst_sig: std_logic: #1 + signal clk_sig: std_logic: #2 + signal cs_sig: std_logic: #3 + signal addr_sig: unresolved_unsigned (2 downto 0): #4-#6 + signal wr_sig: std_logic: #7 + signal rd_sig: std_logic: #8 + signal din_sig: std_ulogic_vector (7 downto 0): #9-#16 + signal dout_sig: std_ulogic_vector (7 downto 0): #17-#24 + signal p2c_sig: t_p2c: #25-#44 + signal c2p_sig: t_c2p: #45-#57 + signal run_sig: std_logic: #58 + signal sbi_if: t_sbi_if(addr (2 downto 0), wdata (7 downto 0), rdata (7 downto 0)): #59-#81 + instance irqc: + port-in arst: std_logic: #1 + port-in clk: std_logic: #2 + port-in cs: std_logic: #3 + port-in addr: unresolved_unsigned (2 downto 0): #4-#6 + port-in wr: std_logic: #7 + port-in rd: std_logic: #8 + port-in din: std_ulogic_vector (7 downto 0): #9-#16 + port-out dout: std_ulogic_vector (7 downto 0): #17-#24 + port-out p2c: t_p2c: #25-#44 + port-in c2p: t_c2p: #45-#57 + signal p2c_i: t_p2c: #82-#101 + signal dout_i: std_ulogic_vector (7 downto 0): #102-#109 + process P0: + process p_read_reg: + process P1: + process p_write_reg: + process p_aux: + process P0: + process tb: +Time is 0 fs +#1: 'U' (0) +#2: 'U' (0) +#3: 'U' (0) +#4: 'U' (0) +#5: 'U' (0) +#6: 'U' (0) +#7: 'U' (0) +#8: 'U' (0) +#9: 'U' (0) +#10: 'U' (0) +#11: 'U' (0) +#12: 'U' (0) +#13: 'U' (0) +#14: 'U' (0) +#15: 'U' (0) +#16: 'U' (0) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: 'U' (0) +#26: 'U' (0) +#27: 'U' (0) +#28: 'U' (0) +#29: 'U' (0) +#30: 'U' (0) +#31: 'U' (0) +#32: 'U' (0) +#33: 'U' (0) +#34: 'U' (0) +#35: 'U' (0) +#36: 'U' (0) +#37: 'U' (0) +#38: 'U' (0) +#39: 'U' (0) +#40: 'U' (0) +#41: 'U' (0) +#42: 'U' (0) +#43: 'U' (0) +#44: 'U' (0) +#45: 'U' (0) +#46: 'U' (0) +#47: 'U' (0) +#48: 'U' (0) +#49: 'U' (0) +#50: 'U' (0) +#51: 'U' (0) +#52: 'U' (0) +#53: 'U' (0) +#54: 'U' (0) +#55: 'U' (0) +#56: 'U' (0) +#57: 'U' (0) +#58: 'U' (0) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: 'U' (0) +#83: 'U' (0) +#84: 'U' (0) +#85: 'U' (0) +#86: 'U' (0) +#87: 'U' (0) +#88: 'U' (0) +#89: 'U' (0) +#90: 'U' (0) +#91: 'U' (0) +#92: 'U' (0) +#93: 'U' (0) +#94: 'U' (0) +#95: 'U' (0) +#96: 'U' (0) +#97: 'U' (0) +#98: 'U' (0) +#99: 'U' (0) +#100: 'U' (0) +#101: 'U' (0) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 0 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 10000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 20000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 30000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 40000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 50000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 60000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 70000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 80000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 90000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 100000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 110000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 120000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 130000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 140000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 150000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 160000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 170000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 180000000 fs +#1: '1' (3) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 190000000 fs +#1: '1' (3) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 200000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 210000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 220000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 230000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 240000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 250000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 260000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 270000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 280000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 290000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 300000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 310000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 320000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 330000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 340000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 350000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 360000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 370000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 380000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 390000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 400000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 405000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 410000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 420000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 425000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 430000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 440000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 445000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '1' (3) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 450000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '1' (3) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 460000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '1' (3) +#5: '0' (2) +#6: '0' (2) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 465000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '1' (3) +#5: '1' (3) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 470000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '1' (3) +#5: '1' (3) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 480000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '1' (3) +#5: '1' (3) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '0' (2) +#13: '0' (2) +#14: '0' (2) +#15: '0' (2) +#16: '0' (2) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 485000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '1' (3) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 490000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '1' (3) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '0' (2) +#27: '0' (2) +#28: '0' (2) +#29: '0' (2) +#30: '0' (2) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '0' (2) +#84: '0' (2) +#85: '0' (2) +#86: '0' (2) +#87: '0' (2) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 500000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '1' (3) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 505000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 510000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 520000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 530000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 540000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 550000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 560000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 570000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 580000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '1' (3) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '1' (3) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '1' (3) +#21: '0' (2) +#22: '1' (3) +#23: '0' (2) +#24: '1' (3) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '1' (3) +#106: '0' (2) +#107: '1' (3) +#108: '0' (2) +#109: '1' (3) +Time is 585000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 590000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 600000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 610000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 620000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 630000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 640000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 650000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 660000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 670000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 680000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 690000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 700000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 710000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 720000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 730000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 740000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 750000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 760000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 770000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 780000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '1' (3) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 785000000 fs +#1: '0' (2) +#2: '1' (3) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 790000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 800000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 810000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 820000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 830000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 840000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 850000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 860000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 870000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 880000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 890000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 900000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 910000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 920000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 930000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 940000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 950000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 960000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 970000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) +Time is 980000000 fs +#1: '0' (2) +#2: '0' (2) +#3: '0' (2) +#4: '0' (2) +#5: '0' (2) +#6: '1' (3) +#7: '0' (2) +#8: '0' (2) +#9: '0' (2) +#10: '0' (2) +#11: '0' (2) +#12: '1' (3) +#13: '0' (2) +#14: '1' (3) +#15: '0' (2) +#16: '1' (3) +#17: '0' (2) +#18: '0' (2) +#19: '0' (2) +#20: '0' (2) +#21: '0' (2) +#22: '0' (2) +#23: '0' (2) +#24: '0' (2) +#25: '0' (2) +#26: '1' (3) +#27: '0' (2) +#28: '1' (3) +#29: '0' (2) +#30: '1' (3) +#31: '0' (2) +#32: '0' (2) +#33: '0' (2) +#34: '0' (2) +#35: '0' (2) +#36: '0' (2) +#37: '0' (2) +#38: '0' (2) +#39: '0' (2) +#40: '0' (2) +#41: '0' (2) +#42: '0' (2) +#43: '0' (2) +#44: '0' (2) +#45: '0' (2) +#46: '0' (2) +#47: '0' (2) +#48: '0' (2) +#49: '0' (2) +#50: '0' (2) +#51: '0' (2) +#52: '0' (2) +#53: '0' (2) +#54: '0' (2) +#55: '0' (2) +#56: '0' (2) +#57: '0' (2) +#58: '0' (2) +#59: '0' (2) +#60: '0' (2) +#61: '0' (2) +#62: '0' (2) +#63: '0' (2) +#64: '0' (2) +#65: '0' (2) +#66: '0' (2) +#67: '0' (2) +#68: '0' (2) +#69: '0' (2) +#70: '0' (2) +#71: '0' (2) +#72: '0' (2) +#73: 'Z' (4) +#74: 'Z' (4) +#75: 'Z' (4) +#76: 'Z' (4) +#77: 'Z' (4) +#78: 'Z' (4) +#79: 'Z' (4) +#80: 'Z' (4) +#81: 'Z' (4) +#82: '0' (2) +#83: '1' (3) +#84: '0' (2) +#85: '1' (3) +#86: '0' (2) +#87: '1' (3) +#88: '0' (2) +#89: '0' (2) +#90: '0' (2) +#91: '0' (2) +#92: '0' (2) +#93: '0' (2) +#94: '0' (2) +#95: '0' (2) +#96: '0' (2) +#97: '0' (2) +#98: '0' (2) +#99: '0' (2) +#100: '0' (2) +#101: '0' (2) +#102: '0' (2) +#103: '0' (2) +#104: '0' (2) +#105: '0' (2) +#106: '0' (2) +#107: '0' (2) +#108: '0' (2) +#109: '0' (2) diff --git a/testsuite/gna/issue332/testsuite.sh b/testsuite/gna/issue332/testsuite.sh index 1dfe7cd21..a734d2906 100755 --- a/testsuite/gna/issue332/testsuite.sh +++ b/testsuite/gna/issue332/testsuite.sh @@ -12,6 +12,8 @@ elab tb_irqc if ghdl_has_feature tb_irqc ghw; then simulate tb_irqc --wave=sim.ghw + ghw_diff sim + rm -f sim.txt sim.ghw fi analyze repro_rec.vhdl @@ -19,12 +21,10 @@ elab repro_rec if ghdl_has_feature repro_rec ghw; then simulate repro_rec --wave=rec.ghw + ghw_diff rec + rm -f rec.txt rec.ghw fi clean -if [ $# -eq 0 ]; then - rm -f rec.ghw sim.ghw -fi - echo "Test successful" |