aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue216/repro1.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-12-18 21:45:57 +0100
committerTristan Gingold <tgingold@free.fr>2016-12-19 04:13:35 +0100
commitb82151d36e4e8c8a3784e515fb8a3b80a69e1049 (patch)
treebc0bc2f95a4798058c411d0517802d959b3b4dc5 /testsuite/gna/issue216/repro1.vhdl
parentcadb1e205d1a9fea356943f8e524c379cc1fa2a8 (diff)
downloadghdl-b82151d36e4e8c8a3784e515fb8a3b80a69e1049.tar.gz
ghdl-b82151d36e4e8c8a3784e515fb8a3b80a69e1049.tar.bz2
ghdl-b82151d36e4e8c8a3784e515fb8a3b80a69e1049.zip
Add testcase for #216
Diffstat (limited to 'testsuite/gna/issue216/repro1.vhdl')
-rw-r--r--testsuite/gna/issue216/repro1.vhdl16
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/gna/issue216/repro1.vhdl b/testsuite/gna/issue216/repro1.vhdl
new file mode 100644
index 000000000..173f123d1
--- /dev/null
+++ b/testsuite/gna/issue216/repro1.vhdl
@@ -0,0 +1,16 @@
+entity repro1 is
+ generic (c : natural := 4);
+end repro1;
+
+architecture behav of repro1 is
+ constant cmap : string (1 to 5) :=
+ (1 => 'a', 2 => 'b', 3 => 'c', 4 => 'd', 5 => 'e');
+begin
+ process
+ variable v : character;
+ begin
+ v := cmap (c);
+ assert v = 'd' report "bad value" severity error;
+ wait;
+ end process;
+end behav;