diff options
author | Tristan Gingold <tgingold@free.fr> | 2021-07-17 18:57:51 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2021-07-17 18:57:51 +0200 |
commit | d6c71f92412036657c423572e34550b0a733c3fc (patch) | |
tree | 25c85d316eab4595d7d9d342dfff3d6ec3c1fd29 /testsuite/gna/issue1818 | |
parent | f69295571132f75a9ce19c9be680355202cfe9d0 (diff) | |
download | ghdl-d6c71f92412036657c423572e34550b0a733c3fc.tar.gz ghdl-d6c71f92412036657c423572e34550b0a733c3fc.tar.bz2 ghdl-d6c71f92412036657c423572e34550b0a733c3fc.zip |
testsuite/gna: add a test for #1818
Diffstat (limited to 'testsuite/gna/issue1818')
-rw-r--r-- | testsuite/gna/issue1818/mwe.vhdl | 22 | ||||
-rwxr-xr-x | testsuite/gna/issue1818/testsuite.sh | 11 |
2 files changed, 33 insertions, 0 deletions
diff --git a/testsuite/gna/issue1818/mwe.vhdl b/testsuite/gna/issue1818/mwe.vhdl new file mode 100644 index 000000000..ee8da01a4 --- /dev/null +++ b/testsuite/gna/issue1818/mwe.vhdl @@ -0,0 +1,22 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity ghdl_mwe is +port +( + o_ArrayElementSize : out Natural +); +end entity ghdl_mwe; + +architecture RTL of ghdl_mwe is + +type t_TestArray is array (0 to 3) of std_logic_vector(8-1 downto 0); +constant TestArray : t_TestArray := (x"00", x"01", x"02", x"03"); + +begin + + o_ArrayElementSize <= TestArray'Element'Length; + +end architecture RTL; + diff --git a/testsuite/gna/issue1818/testsuite.sh b/testsuite/gna/issue1818/testsuite.sh new file mode 100755 index 000000000..cc00c7de0 --- /dev/null +++ b/testsuite/gna/issue1818/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze mwe.vhdl +elab_simulate ghdl_mwe + +clean + +echo "Test successful" |