aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/sem_inst.adb
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-11-14 18:35:41 +0100
committerTristan Gingold <tgingold@free.fr>2018-11-14 18:35:41 +0100
commitb6c523106ab498375a7874923742c6b806700a9a (patch)
tree83f21964f8290a845a9acaba325056f5a420a963 /src/vhdl/sem_inst.adb
parent12ea165c7474ad0a7a486062f816071378492eed (diff)
downloadghdl-b6c523106ab498375a7874923742c6b806700a9a.tar.gz
ghdl-b6c523106ab498375a7874923742c6b806700a9a.tar.bz2
ghdl-b6c523106ab498375a7874923742c6b806700a9a.zip
Create sem_lib from libraries.
Diffstat (limited to 'src/vhdl/sem_inst.adb')
-rw-r--r--src/vhdl/sem_inst.adb1
1 files changed, 0 insertions, 1 deletions
diff --git a/src/vhdl/sem_inst.adb b/src/vhdl/sem_inst.adb
index c32ccebf9..b4673efeb 100644
--- a/src/vhdl/sem_inst.adb
+++ b/src/vhdl/sem_inst.adb
@@ -21,7 +21,6 @@ with Types; use Types;
with Files_Map;
with Iirs_Utils; use Iirs_Utils;
with Errorout; use Errorout;
-with Sem;
with Sem_Utils;
package body Sem_Inst is