aboutsummaryrefslogtreecommitdiffstats
path: root/pyGHDL/dom
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-12-12 14:35:51 +0100
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-12-12 14:50:05 +0100
commit03836b00aeddca2fd73f078d7850dc58be632466 (patch)
treec58ec03330d2bddd1c55cd81e3bfc81016139b3b /pyGHDL/dom
parentf7b6325cb2b4ba5f722b5dceb3b36737a94fba47 (diff)
downloadghdl-03836b00aeddca2fd73f078d7850dc58be632466.tar.gz
ghdl-03836b00aeddca2fd73f078d7850dc58be632466.tar.bz2
ghdl-03836b00aeddca2fd73f078d7850dc58be632466.zip
Changed export decorator from pydecor to pyTooling.Decorators
Diffstat (limited to 'pyGHDL/dom')
-rw-r--r--pyGHDL/dom/Aggregates.py2
-rw-r--r--pyGHDL/dom/Attribute.py2
-rw-r--r--pyGHDL/dom/Concurrent.py2
-rw-r--r--pyGHDL/dom/DesignUnit.py2
-rw-r--r--pyGHDL/dom/Expression.py2
-rw-r--r--pyGHDL/dom/InterfaceItem.py2
-rw-r--r--pyGHDL/dom/Literal.py2
-rw-r--r--pyGHDL/dom/Misc.py2
-rw-r--r--pyGHDL/dom/Names.py2
-rw-r--r--pyGHDL/dom/NonStandard.py2
-rw-r--r--pyGHDL/dom/Object.py2
-rw-r--r--pyGHDL/dom/PSL.py2
-rw-r--r--pyGHDL/dom/Range.py2
-rw-r--r--pyGHDL/dom/Sequential.py2
-rw-r--r--pyGHDL/dom/Subprogram.py2
-rw-r--r--pyGHDL/dom/Symbol.py2
-rw-r--r--pyGHDL/dom/Type.py2
-rw-r--r--pyGHDL/dom/_Translate.py2
-rw-r--r--pyGHDL/dom/_Utils.py2
-rw-r--r--pyGHDL/dom/__init__.py2
-rw-r--r--pyGHDL/dom/formatting/prettyprint.py2
21 files changed, 21 insertions, 21 deletions
diff --git a/pyGHDL/dom/Aggregates.py b/pyGHDL/dom/Aggregates.py
index dfaee9a2d..02e071cb5 100644
--- a/pyGHDL/dom/Aggregates.py
+++ b/pyGHDL/dom/Aggregates.py
@@ -39,7 +39,7 @@ This module contains all DOM classes for VHDL's design units (:class:`context <E
"""
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.SyntaxModel import (
SimpleAggregateElement as VHDLModel_SimpleAggregateElement,
diff --git a/pyGHDL/dom/Attribute.py b/pyGHDL/dom/Attribute.py
index 97a01f65a..3b3f3b40e 100644
--- a/pyGHDL/dom/Attribute.py
+++ b/pyGHDL/dom/Attribute.py
@@ -32,7 +32,7 @@
# ============================================================================
from typing import List
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.SyntaxModel import (
Attribute as VHDLModel_Attribute,
diff --git a/pyGHDL/dom/Concurrent.py b/pyGHDL/dom/Concurrent.py
index 33973a455..f1f545d53 100644
--- a/pyGHDL/dom/Concurrent.py
+++ b/pyGHDL/dom/Concurrent.py
@@ -32,7 +32,7 @@
# ============================================================================
from typing import Iterable
-from pydecor import export
+from pyTooling.Decorators import export
from pyGHDL.dom.Range import Range
from pyVHDLModel.SyntaxModel import (
diff --git a/pyGHDL/dom/DesignUnit.py b/pyGHDL/dom/DesignUnit.py
index ae53a3476..3c0d2ab0c 100644
--- a/pyGHDL/dom/DesignUnit.py
+++ b/pyGHDL/dom/DesignUnit.py
@@ -41,7 +41,7 @@ This module contains all DOM classes for VHDL's design units (:class:`context <E
"""
from typing import Iterable
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel import ContextUnion, EntityOrSymbol
from pyVHDLModel.SyntaxModel import (
diff --git a/pyGHDL/dom/Expression.py b/pyGHDL/dom/Expression.py
index f3c7f56c6..f68ab0d51 100644
--- a/pyGHDL/dom/Expression.py
+++ b/pyGHDL/dom/Expression.py
@@ -32,7 +32,7 @@
# ============================================================================
from typing import List, Union
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.SyntaxModel import (
UnaryExpression as VHDLModel_UnaryExpression,
diff --git a/pyGHDL/dom/InterfaceItem.py b/pyGHDL/dom/InterfaceItem.py
index 4bc65cf95..66a8fe37b 100644
--- a/pyGHDL/dom/InterfaceItem.py
+++ b/pyGHDL/dom/InterfaceItem.py
@@ -32,7 +32,7 @@
# ============================================================================
from typing import List
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.SyntaxModel import (
GenericConstantInterfaceItem as VHDLModel_GenericConstantInterfaceItem,
diff --git a/pyGHDL/dom/Literal.py b/pyGHDL/dom/Literal.py
index 54bcc2fc2..c054273c4 100644
--- a/pyGHDL/dom/Literal.py
+++ b/pyGHDL/dom/Literal.py
@@ -30,7 +30,7 @@
#
# SPDX-License-Identifier: GPL-2.0-or-later
# ============================================================================
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.SyntaxModel import (
NullLiteral as VHDLModel_NullLiteral,
diff --git a/pyGHDL/dom/Misc.py b/pyGHDL/dom/Misc.py
index ddd31040a..d6fc08524 100644
--- a/pyGHDL/dom/Misc.py
+++ b/pyGHDL/dom/Misc.py
@@ -35,7 +35,7 @@
.. todo::
Add a module documentation.
"""
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.SyntaxModel import (
Alias as VHDLModel_Alias,
diff --git a/pyGHDL/dom/Names.py b/pyGHDL/dom/Names.py
index acb9cd1d6..d601739db 100644
--- a/pyGHDL/dom/Names.py
+++ b/pyGHDL/dom/Names.py
@@ -32,7 +32,7 @@
# ============================================================================
from typing import List
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.SyntaxModel import (
SimpleName as VHDLModel_SimpleName,
diff --git a/pyGHDL/dom/NonStandard.py b/pyGHDL/dom/NonStandard.py
index b0242e260..f6f451325 100644
--- a/pyGHDL/dom/NonStandard.py
+++ b/pyGHDL/dom/NonStandard.py
@@ -40,7 +40,7 @@ import time
from pathlib import Path
from typing import Any
-from pydecor import export
+from pyTooling.Decorators import export
from pyGHDL.dom.Names import SimpleName
from pyVHDLModel.SyntaxModel import (
diff --git a/pyGHDL/dom/Object.py b/pyGHDL/dom/Object.py
index 351bcddf0..6d3472a6f 100644
--- a/pyGHDL/dom/Object.py
+++ b/pyGHDL/dom/Object.py
@@ -32,7 +32,7 @@
# ============================================================================
from typing import Union, List
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.SyntaxModel import (
Constant as VHDLModel_Constant,
diff --git a/pyGHDL/dom/PSL.py b/pyGHDL/dom/PSL.py
index 95ac72082..0217e0639 100644
--- a/pyGHDL/dom/PSL.py
+++ b/pyGHDL/dom/PSL.py
@@ -39,7 +39,7 @@ This module contains all DOM classes for VHDL's design units (:class:`context <E
"""
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.PSLModel import (
VerificationUnit as VHDLModel_VerificationUnit,
diff --git a/pyGHDL/dom/Range.py b/pyGHDL/dom/Range.py
index f5153e67d..c6f783139 100644
--- a/pyGHDL/dom/Range.py
+++ b/pyGHDL/dom/Range.py
@@ -30,7 +30,7 @@
#
# SPDX-License-Identifier: GPL-2.0-or-later
# ============================================================================
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.SyntaxModel import Range as VHDLModel_Range
diff --git a/pyGHDL/dom/Sequential.py b/pyGHDL/dom/Sequential.py
index 372939b28..35f7b0d4f 100644
--- a/pyGHDL/dom/Sequential.py
+++ b/pyGHDL/dom/Sequential.py
@@ -32,7 +32,7 @@
# ============================================================================
from typing import Iterable
-from pydecor import export
+from pyTooling.Decorators import export
from pyGHDL.dom.Concurrent import (
WaveformElement,
diff --git a/pyGHDL/dom/Subprogram.py b/pyGHDL/dom/Subprogram.py
index ac8916792..2e9c0116f 100644
--- a/pyGHDL/dom/Subprogram.py
+++ b/pyGHDL/dom/Subprogram.py
@@ -32,7 +32,7 @@
# ============================================================================
from typing import List
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.SyntaxModel import (
Function as VHDLModel_Function,
diff --git a/pyGHDL/dom/Symbol.py b/pyGHDL/dom/Symbol.py
index d290b3d70..c5ed39ba3 100644
--- a/pyGHDL/dom/Symbol.py
+++ b/pyGHDL/dom/Symbol.py
@@ -32,7 +32,7 @@
# ============================================================================
from typing import List, Iterator
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.SyntaxModel import (
EntitySymbol as VHDLModel_EntitySymbol,
diff --git a/pyGHDL/dom/Type.py b/pyGHDL/dom/Type.py
index 48cf50418..ba039852c 100644
--- a/pyGHDL/dom/Type.py
+++ b/pyGHDL/dom/Type.py
@@ -32,7 +32,7 @@
# ============================================================================
from typing import List, Union, Iterator, Tuple
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.SyntaxModel import (
AnonymousType as VHDLModel_AnonymousType,
diff --git a/pyGHDL/dom/_Translate.py b/pyGHDL/dom/_Translate.py
index fe66f2c98..ee1586e6b 100644
--- a/pyGHDL/dom/_Translate.py
+++ b/pyGHDL/dom/_Translate.py
@@ -32,7 +32,7 @@
# ============================================================================
from typing import List, Generator, Type
-from pydecor import export
+from pyTooling.Decorators import export
from pyGHDL.dom.Sequential import (
IfStatement,
diff --git a/pyGHDL/dom/_Utils.py b/pyGHDL/dom/_Utils.py
index c8a7a56ce..76dec9a06 100644
--- a/pyGHDL/dom/_Utils.py
+++ b/pyGHDL/dom/_Utils.py
@@ -30,7 +30,7 @@
#
# SPDX-License-Identifier: GPL-2.0-or-later
# ============================================================================
-from pydecor import export
+from pyTooling.Decorators import export
from pyVHDLModel.SyntaxModel import Mode
diff --git a/pyGHDL/dom/__init__.py b/pyGHDL/dom/__init__.py
index dc58c42d0..f5d38fa09 100644
--- a/pyGHDL/dom/__init__.py
+++ b/pyGHDL/dom/__init__.py
@@ -32,7 +32,7 @@
# ============================================================================
from pathlib import Path
-from pydecor import export
+from pyTooling.Decorators import export
from pyGHDL import GHDLBaseException
from pyGHDL.libghdl import files_map, name_table
diff --git a/pyGHDL/dom/formatting/prettyprint.py b/pyGHDL/dom/formatting/prettyprint.py
index 4a28b9905..a72a32862 100644
--- a/pyGHDL/dom/formatting/prettyprint.py
+++ b/pyGHDL/dom/formatting/prettyprint.py
@@ -32,7 +32,7 @@
# ============================================================================
from typing import List, Union
-from pydecor import export
+from pyTooling.Decorators import export
from pyGHDL.dom.Concurrent import (
ConcurrentBlockStatement,