aboutsummaryrefslogtreecommitdiffstats
path: root/pyGHDL/dom/Names.py
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-26 13:48:09 +0200
committerGitHub <noreply@github.com>2021-06-26 13:48:09 +0200
commit111fe055b2f0f3a0225d2553cf739572d691a14d (patch)
tree50d3a874bb78107627a6509fd4054c7fdc96cd25 /pyGHDL/dom/Names.py
parent15c6de72bc8dd316cb5262e1b5f373ca45b05f68 (diff)
downloadghdl-111fe055b2f0f3a0225d2553cf739572d691a14d.tar.gz
ghdl-111fe055b2f0f3a0225d2553cf739572d691a14d.tar.bz2
ghdl-111fe055b2f0f3a0225d2553cf739572d691a14d.zip
More DOM improvements (#1806)
* First try to handle names. * Reworked names. * Reworked range expressions. * Handle AttributeNames. * Added handling of file declaration and attribute declarations. * Improved error outputs. * Handle protected types. * Make black happy with ugly code. * Handle Null literal and File parameters. * File type and physical type. * Don't fail on reported syntax errors. Catch call errors into libghdl. * Improved Sanity checks for pyGHDL.dom. * Load sourcecode via Python and process in-memory. Fixed testcases. * Added package instantiations and packages with generics. * Added UseClause, AttributeSpecification and PhysicalTypes. * Improved pretty-printing. * Fixed AttributeName in subtype indication. * Get code position of IIR nodes. * Added DOMMixin into all derived classes. * Mark as not yet implemented. * Pinned pyVHDLModel version to v0.10.4. * Removed xfail in LSP test. Bumped requirement of pyVHDLModel to v0.10.4. Fixed some Codacy issues. (cherry picked from commit f64e7ed7c3d69cbf84cd60db8e9b085e90b846cb)
Diffstat (limited to 'pyGHDL/dom/Names.py')
-rw-r--r--pyGHDL/dom/Names.py99
1 files changed, 99 insertions, 0 deletions
diff --git a/pyGHDL/dom/Names.py b/pyGHDL/dom/Names.py
new file mode 100644
index 000000000..fa53acae6
--- /dev/null
+++ b/pyGHDL/dom/Names.py
@@ -0,0 +1,99 @@
+# =============================================================================
+# ____ _ _ ____ _ _
+# _ __ _ _ / ___| | | | _ \| | __| | ___ _ __ ___
+# | '_ \| | | | | _| |_| | | | | | / _` |/ _ \| '_ ` _ \
+# | |_) | |_| | |_| | _ | |_| | |___ | (_| | (_) | | | | | |
+# | .__/ \__, |\____|_| |_|____/|_____(_)__,_|\___/|_| |_| |_|
+# |_| |___/
+# =============================================================================
+# Authors:
+# Patrick Lehmann
+#
+# Package module: DOM: Interface items (e.g. generic or port)
+#
+# License:
+# ============================================================================
+# Copyright (C) 2019-2021 Tristan Gingold
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 2 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program. If not, see <gnu.org/licenses>.
+#
+# SPDX-License-Identifier: GPL-2.0-or-later
+# ============================================================================
+from typing import List
+
+from pyGHDL.libghdl._types import Iir
+from pydecor import export
+
+from pyVHDLModel.VHDLModel import (
+ SimpleName as VHDLModel_SimpleName,
+ ParenthesisName as VHDLModel_ParenthesisName,
+ IndexedName as VHDLModel_IndexedName,
+ SlicedName as VHDLModel_SlicedName,
+ SelectedName as VHDLModel_SelectedName,
+ AttributeName as VHDLModel_AttributeName,
+ AllName as VHDLModel_AllName,
+ Name,
+)
+from pyGHDL.dom import DOMMixin
+
+__all__ = []
+
+
+@export
+class SimpleName(VHDLModel_SimpleName, DOMMixin):
+ def __init__(self, node: Iir, name: str):
+ super().__init__(name)
+ DOMMixin.__init__(self, node)
+
+
+@export
+class ParenthesisName(VHDLModel_ParenthesisName, DOMMixin):
+ def __init__(self, node: Iir, prefix: Name, associations: List):
+ super().__init__(prefix, associations)
+ DOMMixin.__init__(self, node)
+
+
+@export
+class IndexedName(VHDLModel_IndexedName, DOMMixin):
+ def __init__(self, node: Iir, name: str):
+ super().__init__(name)
+ DOMMixin.__init__(self, node)
+
+
+@export
+class SlicedName(VHDLModel_SlicedName, DOMMixin):
+ def __init__(self, node: Iir, name: str):
+ super().__init__(name)
+ DOMMixin.__init__(self, node)
+
+
+@export
+class SelectedName(VHDLModel_SelectedName, DOMMixin):
+ def __init__(self, node: Iir, name: str, prefix: Name):
+ super().__init__(name, prefix)
+ DOMMixin.__init__(self, node)
+
+
+@export
+class AttributeName(VHDLModel_AttributeName, DOMMixin):
+ def __init__(self, node: Iir, name: str, prefix: Name):
+ super().__init__(name, prefix)
+ DOMMixin.__init__(self, node)
+
+
+@export
+class AllName(VHDLModel_AllName, DOMMixin):
+ def __init__(self, node: Iir, prefix: Name):
+ super().__init__(prefix)
+ DOMMixin.__init__(self, node)