aboutsummaryrefslogtreecommitdiffstats
path: root/libraries
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-04-24 20:45:17 +0200
committerTristan Gingold <tgingold@free.fr>2019-04-24 21:47:06 +0200
commitf4689c2660cb26e341f79f8104d46c808427f6c0 (patch)
tree83cfa80d2f8ff98dc5df03f214614f6164ffb519 /libraries
parent221abeb379c1c488c3b3bcda2dbb99a977ac90e9 (diff)
downloadghdl-f4689c2660cb26e341f79f8104d46c808427f6c0.tar.gz
ghdl-f4689c2660cb26e341f79f8104d46c808427f6c0.tar.bz2
ghdl-f4689c2660cb26e341f79f8104d46c808427f6c0.zip
libraries: rename _body files.
Fix #699
Diffstat (limited to 'libraries')
-rw-r--r--libraries/Makefile.inc12
-rw-r--r--libraries/ieee/std_logic_1164-body.vhdl (renamed from libraries/ieee/std_logic_1164_body.vhdl)0
-rw-r--r--libraries/mentor/std_logic_arith-body.vhdl (renamed from libraries/mentor/std_logic_arith_body.vhdl)0
-rw-r--r--libraries/std/env-body.vhdl (renamed from libraries/std/env_body.vhdl)0
-rw-r--r--libraries/std/textio-body.vhdl (renamed from libraries/std/textio_body.vhdl)0
-rw-r--r--libraries/vital95/vital_primitives-body.vhdl (renamed from libraries/vital95/vital_primitives_body.vhdl)0
-rw-r--r--libraries/vital95/vital_timing-body.vhdl (renamed from libraries/vital95/vital_timing_body.vhdl)0
7 files changed, 6 insertions, 6 deletions
diff --git a/libraries/Makefile.inc b/libraries/Makefile.inc
index f9f8e8c4d..c4bb87643 100644
--- a/libraries/Makefile.inc
+++ b/libraries/Makefile.inc
@@ -42,23 +42,23 @@ vhdl.libs.v93: std.v93 ieee.v93 synopsys.v93
vhdl.libs.v08: std.v08 ieee.v08
endif
-STD_SRCS := std/textio.vhdl std/textio_body.vhdl
+STD_SRCS := std/textio.vhdl std/textio-body.vhdl
SYNOPSYS_BSRCS := synopsys/std_logic_arith.vhdl \
synopsys/std_logic_unsigned.vhdl \
synopsys/std_logic_signed.vhdl
SYNOPSYS_V_BSRCS := synopsys/std_logic_misc.vhdl \
synopsys/std_logic_misc-body.vhdl
SYNOPSYS8793_BSRCS := synopsys/std_logic_textio.vhdl
-MENTOR_BSRCS := mentor/std_logic_arith.vhdl mentor/std_logic_arith_body.vhdl
+MENTOR_BSRCS := mentor/std_logic_arith.vhdl mentor/std_logic_arith-body.vhdl
ifeq ($(enable_openieee),false)
-IEEE_SRCS := ieee/std_logic_1164.vhdl ieee/std_logic_1164_body.vhdl \
+IEEE_SRCS := ieee/std_logic_1164.vhdl ieee/std_logic_1164-body.vhdl \
ieee/numeric_bit.vhdl ieee/numeric_bit-body.vhdl \
ieee/numeric_std.vhdl ieee/numeric_std-body.vhdl
MATH_SRCS := ieee/math_real.vhdl ieee/math_real-body.vhdl \
ieee/math_complex.vhdl ieee/math_complex-body.vhdl
-VITAL95_BSRCS := vital95/vital_timing.vhdl vital95/vital_timing_body.vhdl \
- vital95/vital_primitives.vhdl vital95/vital_primitives_body.vhdl
+VITAL95_BSRCS := vital95/vital_timing.vhdl vital95/vital_timing-body.vhdl \
+ vital95/vital_primitives.vhdl vital95/vital_primitives-body.vhdl
VITAL2000_BSRCS := vital2000/timing_p.vhdl vital2000/timing_b.vhdl \
vital2000/prmtvs_p.vhdl vital2000/prmtvs_b.vhdl \
vital2000/memory_p.vhdl vital2000/memory_b.vhdl
@@ -93,7 +93,7 @@ ANALYZE_DEP=$(GHDL)
STD87_BSRCS := $(STD_SRCS:.vhdl=.v87)
STD93_BSRCS := $(STD_SRCS:.vhdl=.v93)
-STD08_BSRCS := $(STD_SRCS:.vhdl=.v08) std/env.vhdl std/env_body.vhdl
+STD08_BSRCS := $(STD_SRCS:.vhdl=.v08) std/env.vhdl std/env-body.vhdl
IEEE87_BSRCS := $(IEEE_SRCS:.vhdl=.v87)
IEEE93_BSRCS := $(IEEE_SRCS:.vhdl=.v93) $(MATH_SRCS)
SYNOPSYS87_BSRCS := $(SYNOPSYS_BSRCS) $(SYNOPSYS_V_BSRCS) $(SYNOPSYS8793_BSRCS)
diff --git a/libraries/ieee/std_logic_1164_body.vhdl b/libraries/ieee/std_logic_1164-body.vhdl
index 88a87482c..88a87482c 100644
--- a/libraries/ieee/std_logic_1164_body.vhdl
+++ b/libraries/ieee/std_logic_1164-body.vhdl
diff --git a/libraries/mentor/std_logic_arith_body.vhdl b/libraries/mentor/std_logic_arith-body.vhdl
index 36f76cb7d..36f76cb7d 100644
--- a/libraries/mentor/std_logic_arith_body.vhdl
+++ b/libraries/mentor/std_logic_arith-body.vhdl
diff --git a/libraries/std/env_body.vhdl b/libraries/std/env-body.vhdl
index d36519fc9..d36519fc9 100644
--- a/libraries/std/env_body.vhdl
+++ b/libraries/std/env-body.vhdl
diff --git a/libraries/std/textio_body.vhdl b/libraries/std/textio-body.vhdl
index d01cb8bad..d01cb8bad 100644
--- a/libraries/std/textio_body.vhdl
+++ b/libraries/std/textio-body.vhdl
diff --git a/libraries/vital95/vital_primitives_body.vhdl b/libraries/vital95/vital_primitives-body.vhdl
index f8f66366c..f8f66366c 100644
--- a/libraries/vital95/vital_primitives_body.vhdl
+++ b/libraries/vital95/vital_primitives-body.vhdl
diff --git a/libraries/vital95/vital_timing_body.vhdl b/libraries/vital95/vital_timing-body.vhdl
index 49998bdb5..49998bdb5 100644
--- a/libraries/vital95/vital_timing_body.vhdl
+++ b/libraries/vital95/vital_timing-body.vhdl