aboutsummaryrefslogtreecommitdiffstats
path: root/libraries/openieee/std_logic_1164.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <gingold@adacore.com>2015-12-19 14:17:48 +0100
committerTristan Gingold <gingold@adacore.com>2015-12-20 07:23:00 +0100
commit40ed4c7f9394c9881577f18f91b6db131752c9ba (patch)
treeffce39708dfff6f68d64da95fff23d2c2a6d72cc /libraries/openieee/std_logic_1164.vhdl
parentae7a913a9c8193daee80f6774e8cb7351edea974 (diff)
downloadghdl-40ed4c7f9394c9881577f18f91b6db131752c9ba.tar.gz
ghdl-40ed4c7f9394c9881577f18f91b6db131752c9ba.tar.bz2
ghdl-40ed4c7f9394c9881577f18f91b6db131752c9ba.zip
openieee: fix missing subprograms, fix wrong interface name.
Diffstat (limited to 'libraries/openieee/std_logic_1164.vhdl')
-rw-r--r--libraries/openieee/std_logic_1164.vhdl16
1 files changed, 8 insertions, 8 deletions
diff --git a/libraries/openieee/std_logic_1164.vhdl b/libraries/openieee/std_logic_1164.vhdl
index f05f8f08b..b5136f538 100644
--- a/libraries/openieee/std_logic_1164.vhdl
+++ b/libraries/openieee/std_logic_1164.vhdl
@@ -65,12 +65,12 @@ package std_logic_1164 is
-- 0 and L are normalized to 0, 1 and 1 are normalized to 1, U isnt changed,
-- all other states are normalized to X.
-- Then the classical electric rules are followed.
- function "and" (l, r : std_ulogic) return UX01;
- function "nand" (l, r : std_ulogic) return UX01;
- function "or" (l, r : std_ulogic) return UX01;
- function "nor" (l, r : std_ulogic) return UX01;
- function "xor" (l, r : std_ulogic) return UX01;
- function "xnor" (l, r : std_ulogic) return UX01;
+ function "and" (l : std_ulogic; r : std_ulogic) return UX01;
+ function "nand" (l : std_ulogic; r : std_ulogic) return UX01;
+ function "or" (l : std_ulogic; r : std_ulogic) return UX01;
+ function "nor" (l : std_ulogic; r : std_ulogic) return UX01;
+ function "xor" (l : std_ulogic; r : std_ulogic) return UX01;
+ function "xnor" (l : std_ulogic; r : std_ulogic) return UX01;
function "not" (l : std_ulogic) return UX01;
-- Logical operators for vectors.
@@ -103,9 +103,9 @@ package std_logic_1164 is
function to_stdulogic (b : bit) return std_ulogic;
function to_stdlogicvector (b : bit_vector) return std_logic_vector;
- function to_stdlogicvector (b : std_ulogic_vector) return std_logic_vector;
+ function to_stdlogicvector (s : std_ulogic_vector) return std_logic_vector;
function to_stdulogicvector (b : bit_vector) return std_ulogic_vector;
- function to_stdulogicvector (b : std_logic_vector) return std_ulogic_vector;
+ function to_stdulogicvector (s : std_logic_vector) return std_ulogic_vector;
-- Normalization.
-- The result range (for vectors) is 1 to S'Length.