aboutsummaryrefslogtreecommitdiffstats
path: root/doc
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-03-01 21:05:02 +0100
committerTristan Gingold <tgingold@free.fr>2020-03-02 07:37:58 +0100
commitf4cfdde434e6b8a22acaacac9e129c7dbd600c58 (patch)
tree4fdf0b83ffb4a5ae451b4346d1ad33ba193b3638 /doc
parenta15bec5d6628a05cbfa5f78a46f48ac55e8be52a (diff)
downloadghdl-f4cfdde434e6b8a22acaacac9e129c7dbd600c58.tar.gz
ghdl-f4cfdde434e6b8a22acaacac9e129c7dbd600c58.tar.bz2
ghdl-f4cfdde434e6b8a22acaacac9e129c7dbd600c58.zip
Remove --ieee=mentor and build of mentor libraries.
Diffstat (limited to 'doc')
-rw-r--r--doc/getting/Directories.rst2
-rw-r--r--doc/using/InvokingGHDL.rst16
2 files changed, 10 insertions, 8 deletions
diff --git a/doc/getting/Directories.rst b/doc/getting/Directories.rst
index 2d5b575d8..982dc4813 100644
--- a/doc/getting/Directories.rst
+++ b/doc/getting/Directories.rst
@@ -5,7 +5,7 @@ Directory structure
* ``src``: sources of GHDL, all of them in Ada.
-* ``libraries``: mostly third party libraries such as, `ieee`, `mentor`,
+* ``libraries``: mostly third party libraries such as, `ieee`,
`std`, `synopsys` and `vital`. Except for a few shell and `Python` scripts, all
the content is written in VHDL.
diff --git a/doc/using/InvokingGHDL.rst b/doc/using/InvokingGHDL.rst
index 48faa3acc..bea132d60 100644
--- a/doc/using/InvokingGHDL.rst
+++ b/doc/using/InvokingGHDL.rst
@@ -259,7 +259,6 @@ Options
.. index:: ieee library
.. index:: synopsys library
- .. index:: mentor library
Select the ``IEEE`` library to use. ``IEEE_VAR`` must be one of:
@@ -287,11 +286,6 @@ Options
they are not standard packages, and have been placed in the `IEEE`
library without the permission from the ``ieee``.
- mentor
- Supply the standard packages and the following additional package:
- ``std_logic_arith``. This package is a slight variation of a definitely
- not standard but widely misused package.
-
To avoid errors, you must use the same `IEEE` library for all units of
your design, and during elaboration.
@@ -645,7 +639,15 @@ Display the library directory added by the link flags.
IEEE library pitfalls
=====================
-When you use options :option:`--ieee=synopsys <--ieee>` or :option:`--ieee=mentor <--ieee>`, the ``ieee`` library contains non standard packages such as ``std_logic_arith``. These packages are not standard because there are not described by an IEEE standard, even if they have been put in the `IEEE` library. Furthermore, they are not really de-facto standard, because there are slight differences between the packages of Mentor and those of Synopsys. Furthermore, since they are not well thought out, their use has pitfalls. For example, this description has an error during compilation:
+When you use options :option:`--ieee=synopsys <--ieee>`, the ``ieee``
+library contains non standard packages such as
+``std_logic_arith``. These packages are not standard because there are
+not described by an IEEE standard, even if they have been put in the
+`IEEE` library. Furthermore, they are not really de-facto standard,
+because there are slight differences between the packages of Mentor
+and those of Synopsys. Furthermore, since they are not well thought
+out, their use has pitfalls. For example, this description has an
+error during compilation:
.. code-block:: VHDL