aboutsummaryrefslogtreecommitdiffstats
path: root/doc/using/UART_srcs/capitalisation/capitalisation.vhd
diff options
context:
space:
mode:
author1138-4EB <1138-4EB@users.noreply.github.com>2017-12-09 17:34:58 +0100
committertgingold <tgingold@users.noreply.github.com>2017-12-10 12:02:05 +0100
commit8e06c39ed8311aeb36696d9f964550407e1c556e (patch)
tree5c511441a8c0b2a9bb2f5732dd4284ed725ad24a /doc/using/UART_srcs/capitalisation/capitalisation.vhd
parent08eb2bfc7144e7698cf570478d6a4e3e81aaf31a (diff)
downloadghdl-8e06c39ed8311aeb36696d9f964550407e1c556e.tar.gz
ghdl-8e06c39ed8311aeb36696d9f964550407e1c556e.tar.bz2
ghdl-8e06c39ed8311aeb36696d9f964550407e1c556e.zip
clean todos
Diffstat (limited to 'doc/using/UART_srcs/capitalisation/capitalisation.vhd')
-rw-r--r--doc/using/UART_srcs/capitalisation/capitalisation.vhd51
1 files changed, 0 insertions, 51 deletions
diff --git a/doc/using/UART_srcs/capitalisation/capitalisation.vhd b/doc/using/UART_srcs/capitalisation/capitalisation.vhd
deleted file mode 100644
index e904eda95..000000000
--- a/doc/using/UART_srcs/capitalisation/capitalisation.vhd
+++ /dev/null
@@ -1,51 +0,0 @@
--- loopback engine
-----------------------------------------------------------------------
-library IEEE;
-use IEEE.STD_LOGIC_1164.all;
-use ieee.numeric_std.all;
-
-entity capitalisation is
- port(
- clk : in std_logic;
- reset : in std_logic;
- --in
- rdata : in std_logic_vector(7 downto 0);
- rd_en : in std_logic;
- rd : out std_logic;
- --out
- wdata : out std_logic_vector(7 downto 0);
- wr_en : in std_logic;
- wr : out std_logic
- );
-end;
-
-architecture Behavioral of capitalisation is
-
-
-
-begin
-
-
- process(clk)
- begin
- wr<='0';
- rd<='0';
- if wr_en='1' and rd_en ='1' then
- wr<='1';
- rd<='1';
- if (unsigned(rdata)>X"60") and
- (unsigned(rdata)<X"7B") then
- wdata<=rdata(7 downto 6 )&'0'& rdata(4 downto 0);
- else
- wdata<=rdata;
- end if;
- end if;
- if reset='1' then
- wr<='0';
- end if;
- end process;
-
-
-
-end Behavioral;
-