aboutsummaryrefslogtreecommitdiffstats
path: root/doc/index.rst
diff options
context:
space:
mode:
authorumarcor <unai.martinezcorral@ehu.eus>2021-01-05 22:34:14 +0100
committerumarcor <unai.martinezcorral@ehu.eus>2021-02-01 09:25:35 +0100
commit75ef931f4a7a0a4f3ddca1727d6f63ea6f4d2482 (patch)
tree3696139763213050943781d144a18272a24997c2 /doc/index.rst
parent835eb73d7c567c3178f6f693153bea3243ecef53 (diff)
downloadghdl-75ef931f4a7a0a4f3ddca1727d6f63ea6f4d2482.tar.gz
ghdl-75ef931f4a7a0a4f3ddca1727d6f63ea6f4d2482.tar.bz2
ghdl-75ef931f4a7a0a4f3ddca1727d6f63ea6f4d2482.zip
doc: reorganise and update
Diffstat (limited to 'doc/index.rst')
-rw-r--r--doc/index.rst77
1 files changed, 42 insertions, 35 deletions
diff --git a/doc/index.rst b/doc/index.rst
index f3ca031e4..a7a728a06 100644
--- a/doc/index.rst
+++ b/doc/index.rst
@@ -29,24 +29,38 @@ GHDL
News
****
+ 31.01.2021 - GHDL v1.0.0rc1 was tagged
+ ======================================
+
+ * Python bindings were overhauled and renamed to ``pyGHDL``. Three modules are included: ``libghdl``, ``lsp`` and ``dom``.
+
+ * The utility scripts in the codebase were moved into subdir ``scripts``: CI, binding generation, vendors, etc.
+
+ * Repository `ghdl/extended-tests <https://github.com/ghdl/extended-tests>`__ was created for testing `vendors` build scripts.
+
+ * The logo was updated (org, ghdl/ghdl, ghdl/docker and ghdl/ghdl-cosim).
+
+ * Assets are not added to releases or pre-releases anymore. Users should use package managers or nightly assets.
+
21.05.2020 - Nightly build assets available
===========================================
- * After each successful CI run of branch ``master``, packages are published as assets of pre-release `nightly <https://github.com/ghdl/ghdl/releases/tag/nightly>`_.
- * GitHub Action `ghdl/setup-ghdl-ci <https://github.com/ghdl/setup-ghdl-ci>`_ was created, to allow easy installation of nightly GHDL assets in GitHub Actions workflows.
+ * After each successful CI run of branch ``master``, packages are published as assets of pre-release `nightly <https://github.com/ghdl/ghdl/releases/tag/nightly>`__.
+ * GitHub Action `ghdl/setup-ghdl-ci <https://github.com/ghdl/setup-ghdl-ci>`__ was created, to allow easy installation of
+ nightly GHDL assets in GitHub Actions workflows.
09.05.2020 - New repositories and a wiki were created
=====================================================
- * The plugin for Yosys was moved from `tgingold/ghdlsynth-beta <https://github.com/tgingold/ghdlsynth-beta>`_ to
- `ghdl/ghdl-yosys-plugin <https://github.com/ghdl/ghdl-yosys-plugin>`_.
- * Repository `ghdl/ghdl-cosim <https://github.com/ghdl/ghdl-cosim>`_ was created. It contains documentation and code
- examples related to VHPIDIRECT, VPI and SystemC. See :ref:`COSIM` and `Previous work and future ideas <https://github.com/ghdl/ghdl-cosim/issues/1>`_.
- * A `Wiki <https://github.com/ghdl/ghdl/wiki>`_ was created. The roadmap and ideas for documentation and internship
- programs were moved there. If you want to contribute anyhow, `have a look <https://github.com/ghdl/ghdl/wiki>`_!
+ * The plugin for Yosys was moved from `tgingold/ghdlsynth-beta <https://github.com/tgingold/ghdlsynth-beta>`__ to
+ `ghdl/ghdl-yosys-plugin <https://github.com/ghdl/ghdl-yosys-plugin>`__.
+ * Repository `ghdl/ghdl-cosim <https://github.com/ghdl/ghdl-cosim>`__ was created. It contains documentation and code
+ examples related to VHPIDIRECT, VPI and SystemC. See :ref:`COSIM` and `Previous work and future ideas <https://github.com/ghdl/ghdl-cosim/issues/1>`__.
+ * A `Wiki <https://github.com/ghdl/ghdl/wiki>`__ was created. The roadmap and ideas for documentation and internship
+ programs were moved there. If you want to contribute anyhow, `have a look <https://github.com/ghdl/ghdl/wiki>`__!
- 28.02.2020 - `GHDL v0.37 was released <https://github.com/ghdl/ghdl/milestone/8?closed=1>`_
- ===========================================================================================
+ 28.02.2020 - `GHDL v0.37 was released <https://github.com/ghdl/ghdl/milestone/8?closed=1>`__
+ ============================================================================================
The major changes are:
@@ -56,8 +70,8 @@ GHDL
* Last version that supports the Mentor variation of
std_logic_arith. The Synopsys one is still available.
- 03.03.2019 - `GHDL v0.36 was released <https://github.com/ghdl/ghdl/milestone/7?closed=1>`_
- ===========================================================================================
+ 03.03.2019 - `GHDL v0.36 was released <https://github.com/ghdl/ghdl/milestone/7?closed=1>`__
+ ============================================================================================
23.02.2019 - GHDL v0.36-rc1 was released
========================================
@@ -73,21 +87,23 @@ GHDL
20.12.2017 - A new GitHub organization was created
==================================================
- A new GitHub organization is created and the main repo is moved from `github.com/tgingold/ghdl <https://github.com/tgingold/ghdl>`_ to
- `github.com/ghdl/ghdl <https://github.com/ghdl/ghdl>`_. Old refs will continue working, because permanent redirects are set up. However, we suggest
+ A new GitHub organization is created and the main repo is moved from `github.com/tgingold/ghdl <https://github.com/tgingold/ghdl>`__ to
+ `github.com/ghdl/ghdl <https://github.com/ghdl/ghdl>`__. Old refs will continue working, because permanent redirects are set up. However, we suggest
every contributor to update the remote URLs in their local clones.
- 14.12.2017 - `GHDL 0.35 was released <https://github.com/ghdl/ghdl/milestone/3?closed=1>`_
- ==========================================================================================
+ 14.12.2017 - `GHDL 0.35 was released <https://github.com/ghdl/ghdl/milestone/3?closed=1>`__
+ ===========================================================================================
- 15.08.2017 - `GHDL 0.34 was released <https://github.com/ghdl/ghdl/milestone/1?closed=1>`_
- ==========================================================================================
+ 15.08.2017 - `GHDL 0.34 was released <https://github.com/ghdl/ghdl/milestone/1?closed=1>`__
+ ===========================================================================================
23.10.2015 - GHDL 0.33 was released
===================================
.. only:: latex
+ .. rubric:: 31.01.2021 - GHDL v1.0.0rc1 was tagged.
+
.. rubric:: 21.05.2020 - Nightly build assets available.
.. rubric:: 09.05.2020 - New repositories and a wiki were created.
@@ -113,35 +129,23 @@ GHDL
:hidden:
about
+ getting
contribute
licenses
.. raw:: latex
- \part{Getting GHDL}
-
-.. toctree::
- :caption: Getting GHDL
- :hidden:
-
- getting/Releases
- Building GHDL <getting/index>
- getting/PrecompileVendorPrimitives
-
-.. raw:: latex
-
- \part{GHDL usage}
+ \part{Usage}
.. toctree::
:caption: GHDL usage
:hidden:
- quick_start/README
+ quick_start/index
using/InvokingGHDL
using/Simulation
using/Synthesis
using/CommandReference
- Co-Simulation <https://ghdl.github.io/ghdl-cosim>
using/ImplementationOfVHDL
using/ImplementationOfVITAL
@@ -153,10 +157,12 @@ GHDL
:caption: Development
:hidden:
+ development/Directories
+ Building GHDL <development/building/index>
+ Python Interfaces <pyGHDL/pyGHDL>
development/Debugging
development/CodingStyle
- gnatdoc/index
- pyGHDL/index
+ development/Scripts
.. raw:: latex
@@ -170,6 +176,7 @@ GHDL
internals/Frontend
internals/AST
internals/RTI
+ gnatdoc/index
.. raw:: latex