aboutsummaryrefslogtreecommitdiffstats
path: root/doc/index.rst
diff options
context:
space:
mode:
author1138-4EB <1138-4EB@users.noreply.github.com>2017-02-19 05:42:34 +0100
committertgingold <tgingold@users.noreply.github.com>2017-12-10 12:02:05 +0100
commit45dfdf3444abe623b4eab42cd1553c8e4b56f139 (patch)
tree8efeb2644e26fbf342747e96be8d019eb687e18d /doc/index.rst
parentb1e36c8668920054ab8570e5b0417479d36b834c (diff)
downloadghdl-45dfdf3444abe623b4eab42cd1553c8e4b56f139.tar.gz
ghdl-45dfdf3444abe623b4eab42cd1553c8e4b56f139.tar.bz2
ghdl-45dfdf3444abe623b4eab42cd1553c8e4b56f139.zip
Moving old content to new structure
Diffstat (limited to 'doc/index.rst')
-rw-r--r--doc/index.rst77
1 files changed, 36 insertions, 41 deletions
diff --git a/doc/index.rst b/doc/index.rst
index 2b55e9db8..018e96711 100644
--- a/doc/index.rst
+++ b/doc/index.rst
@@ -1,10 +1,13 @@
-.. raw:: latex
+This manual is the user and reference manual for GHDL. It does not contain an
+introduction to VHDL. Thus, the reader should have at least a basic knowledge
+of VHDL. A good knowledge of VHDL language reference manual (usually called
+LRM) is a plus.
- \part{Introduction}
+.. only:: html
---------------------------------------------------------------------------------
+ .. raw:: html
-.. only:: html
+ <hr />
.. image:: /_static/logos/GitHub-Mark-32px.png
:scale: 60
@@ -19,11 +22,11 @@
.. image:: https://badges.gitter.im/ghdl/ghdl1.svg
:target: https://gitter.im/ghdl/ghdl1
:alt: Join
-
+
.. raw:: html
-
+
<br />
-
+
.. image:: https://img.shields.io/github/tag/tgingold/ghdl.svg?style=flat
:alt: Latest tag
.. image:: https://img.shields.io/github/release/tgingold/ghdl.svg?style=flat
@@ -32,33 +35,23 @@
.. image:: https://img.shields.io/github/license/tgingold/ghdl.svg?style=flat
:target: License.html
:alt: GNU General Public License 2
-
- .. raw:: html
-
- <hr />
-
-
-GHDL Documentation
-##################
-This manual is the user and reference manual for GHDL. It does not contain an
-introduction to VHDL. Thus, the reader should have at least a basic knowledge
-of VHDL. A good knowledge of VHDL language reference manual (usually called
-LRM) is a plus.
+ .. raw:: html
+ <hr />
.. only:: html
News
****
-
+
23.10.2015 - GHDL 0.33 was released.
====================================
-
+
.. only:: latex
.. rubric:: 23.10.2015 - GHDL 0.33 was released.
-
+
Lorem ipsum dolor sit amet, consetetur sadipscing elitr, sed diam nonumy eirmod
tempor invidunt ut labore et dolore magna aliquyam erat, sed diam voluptua. At
vero eos et accusam et justo duo dolores et ea rebum. Stet clita kasd gubergren,
@@ -68,7 +61,7 @@ labore et dolore magna aliquyam erat, sed diam voluptua. At vero eos et accusam
et justo duo dolores et ea rebum. Stet clita kasd gubergren, no sea takimata
sanctus est Lorem ipsum dolor sit amet
-
+
------------------------------------
.. |docdate| date:: %b %d, %Y - %H:%M
@@ -76,60 +69,62 @@ sanctus est Lorem ipsum dolor sit amet
.. only:: html
This document was generated on |docdate|.
-
-
+
.. toctree::
:caption: Introduction
:hidden:
-
+
0_Intro/WhatIsVHDL
0_Intro/WhatIsGHDL
0_Intro/Contributing
+ 0_Intro/Copyrights
License/gpl-2.0
-
+
.. raw:: latex
\part{GHDL usage}
-
+
.. toctree::
:caption: GHDL usage
:hidden:
-
+
1_Using/QuickStartGuide
1_Using/InvokingGHDL
1_Using/Simulation
-
+
.. raw:: latex
\part{Getting GHDL}
-
+
.. toctree::
:caption: Getting GHDL
:hidden:
-
- 2_Releases/index
+
+ 2_Getting/Releases
+ 2_Getting/Docker
3_Building/index
-
+ 3_Building/VendorPrimitives
+
.. raw:: latex
\part{References}
-
+
.. toctree::
- :caption: References
+ :caption: Implementation references
:hidden:
-
+
4_References/CommandReference
4_References/ImplementationOfVHDL
4_References/ImplementationOfVITAL
-
+
.. raw:: latex
\part{Appendix}
-
+
.. toctree::
:caption: Appendix
:hidden:
-
+
+ X_ChangeLog/Roadmap
X_ChangeLog/index
genindex
- \ No newline at end of file