aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile.in
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-10-21 08:01:05 +0200
committerTristan Gingold <tgingold@free.fr>2018-10-21 08:47:49 +0200
commitf53ea530de143fdf402bc1d3bdc755f77fb75c09 (patch)
tree1d2dacf9fc64440e1e431110225e289d3480b017 /Makefile.in
parent5a34698c393cd9df0a7d02e417bdc023c971424f (diff)
downloadghdl-f53ea530de143fdf402bc1d3bdc755f77fb75c09.tar.gz
ghdl-f53ea530de143fdf402bc1d3bdc755f77fb75c09.tar.bz2
ghdl-f53ea530de143fdf402bc1d3bdc755f77fb75c09.zip
Makefile: add libs.vhsl.debug-llvm
Diffstat (limited to 'Makefile.in')
-rw-r--r--Makefile.in3
1 files changed, 3 insertions, 0 deletions
diff --git a/Makefile.in b/Makefile.in
index e76a61f26..5c2f73019 100644
--- a/Makefile.in
+++ b/Makefile.in
@@ -297,6 +297,9 @@ libs.vhdl.llvmjit: ghdl_llvm_jit$(EXEEXT)
libs.vhdl.llvm: ghdl_llvm$(EXEEXT) ghdl1-llvm$(EXEEXT)
$(MAKE) -f $(srcdir)/libraries/Makefile.inc $(LIBVHDL_FLAGS_TO_PASS) GHDL=$(PWD)/ghdl_llvm$(EXEEXT) GHDL_FLAGS="--GHDL1=$(PWD)/ghdl1-llvm$(EXEEXT) $(LIB_CFLAGS)" vhdl.libs.all libs.vhdl.standard
+libs.vhdl.debug-llvm: ghdl_llvm$(EXEEXT) ghdl1-debug$(EXEEXT)
+ $(MAKE) -f $(srcdir)/libraries/Makefile.inc $(LIBVHDL_FLAGS_TO_PASS) GHDL=$(PWD)/ghdl_llvm$(EXEEXT) GHDL_FLAGS="--GHDL1=$(PWD)/ghdl1-debug$(EXEEXT) $(LIB_CFLAGS)" vhdl.libs.all libs.vhdl.standard
+
ghdl_llvm$(EXEEXT): version.ads force
$(GNATMAKE) $(GHDL_LLVM_INCFLAGS) -aI$(srcdir)/src/ghdldrv $(GNATFLAGS)\
ghdl_llvm $(GNAT_BARGS) -largs $(LDFLAGS) $(GNAT_LARGS)