aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile.in
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-12-16 10:38:33 +0100
committerTristan Gingold <tgingold@free.fr>2017-12-18 06:06:51 +0100
commit9a2b2fc6275c7b81b4a1cf72b95b67dd15df06af (patch)
tree449bf482e207d0ef4b5056f68c51c8f7efe2c148 /Makefile.in
parent458c028395b7cf22d150c1d2573c1ab559a238e2 (diff)
downloadghdl-9a2b2fc6275c7b81b4a1cf72b95b67dd15df06af.tar.gz
ghdl-9a2b2fc6275c7b81b4a1cf72b95b67dd15df06af.tar.bz2
ghdl-9a2b2fc6275c7b81b4a1cf72b95b67dd15df06af.zip
Makefile.in: fix typo.
Diffstat (limited to 'Makefile.in')
-rw-r--r--Makefile.in2
1 files changed, 1 insertions, 1 deletions
diff --git a/Makefile.in b/Makefile.in
index ab4910e51..a0e447d04 100644
--- a/Makefile.in
+++ b/Makefile.in
@@ -325,7 +325,7 @@ ghdl_simul$(EXEEXT): $(GRT_ADD_OBJS) $(GRT_SRC_DEPS) version.ads force
libs.vhdl.simul: ghdl_simul$(EXEEXT)
$(MAKE) -f $(srcdir)/libraries/Makefile.inc $(LIBVHDL_FLAGS_TO_PASS) GHDL=$(PWD)/ghdl_simul$(EXEEXT) GHDL_FLAGS="" VHDLLIBS_COPY_OBJS=no vhdl.libs.all
-install.simul.program: install.dirs ghdl_simul(EXEEXT)
+install.simul.program: install.dirs ghdl_simul$(EXEEXT)
$(INSTALL_PROGRAM) ghdl_simul$(EXEEXT) $(DESTDIR)$(bindir)/ghdl$(EXEEXT)
install.simul: install.simul.program install.vhdllib