aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile.in
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-01-16 22:10:41 +0100
committerTristan Gingold <tgingold@free.fr>2015-01-16 22:10:41 +0100
commit480837edb0879b3c64080670760b18115f938e92 (patch)
treed66743df36ba0c411b40dadcfd587c0e20b108d6 /Makefile.in
parent79fe2268c2d2f887e2feb5b2ab63b061c5173636 (diff)
downloadghdl-480837edb0879b3c64080670760b18115f938e92.tar.gz
ghdl-480837edb0879b3c64080670760b18115f938e92.tar.bz2
ghdl-480837edb0879b3c64080670760b18115f938e92.zip
Fix build of ghdl_simul (WIP).
Diffstat (limited to 'Makefile.in')
-rw-r--r--Makefile.in9
1 files changed, 8 insertions, 1 deletions
diff --git a/Makefile.in b/Makefile.in
index 998804ab2..ea4e91fde 100644
--- a/Makefile.in
+++ b/Makefile.in
@@ -103,6 +103,11 @@ install.mcode.program: install.dirs ghdl_mcode
install.mcode: install.mcode.program install.vhdllib
+oread-mcode: force
+ $(MAKE) -f $(srcdir)/src/ortho/mcode/Makefile \
+ ortho_srcdir=$(srcdir)/src/ortho ortho_exec=$@ \
+ GNAT_FLAGS="-aI$(srcdir)/src/ortho/oread $(GNATFLAGS)" all
+
#################### For gcc backend ##############################
gcc_vhdl_dir=$(gcc_src_dir)/gcc/vhdl
@@ -221,8 +226,10 @@ install.llvm: install.llvm.program install.vhdllib install.grt.llvm
################ For simul (no code generation, interpretation) ##########
+GHDL_SIMUL_INCFLAGS=-aI$(srcdir)/src/ghdldrv -aI$(srcdir)/src -aI$(srcdir)/src/vhdl -aI$(srcdir)/src/psl -aI$(srcdir)/src/vhdl/simulate -aI$(srcdir)/src/grt -aI$(srcdir)/src/vhdl/translate
+
ghdl_simul: $(GRT_ADD_OBJS) force
- $(GNATMAKE) -aI../../simulate $(GNATFLAGS) ghdl_simul $(GNAT_BARGS) -largs $(GNAT_LARGS) $(GRT_ADD_OBJS) $(subst @,$(GRTSRCDIR),$(GRT_EXTRA_LIB))
+ $(GNATMAKE) $(GHDL_SIMUL_INCFLAGS) $(GNATFLAGS) ghdl_simul $(GNAT_BARGS) -largs $(GNAT_LARGS) $(GRT_ADD_OBJS) $(subst @,$(GRTSRCDIR),$(GRT_EXTRA_LIB))
libs.vhdl.simul:
$(MAKE) GHDL=ghdl_simul vhdl.libs.all