aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile.in
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-05-17 05:49:14 +0200
committerTristan Gingold <tgingold@free.fr>2016-05-17 05:49:14 +0200
commit2c4f02de9ba36dbe52e661b50b67fbe14e92ae6e (patch)
tree14694b31fd2f25612d5a1f1487ec9106c5b9cbea /Makefile.in
parent8574c1ae9bf66e3520985e0277a3847b1a210e2e (diff)
downloadghdl-2c4f02de9ba36dbe52e661b50b67fbe14e92ae6e.tar.gz
ghdl-2c4f02de9ba36dbe52e661b50b67fbe14e92ae6e.tar.bz2
ghdl-2c4f02de9ba36dbe52e661b50b67fbe14e92ae6e.zip
mhdlsim: add initial version of the vhdl part (as a library).
Diffstat (limited to 'Makefile.in')
-rw-r--r--Makefile.in12
1 files changed, 12 insertions, 0 deletions
diff --git a/Makefile.in b/Makefile.in
index 1075b50bd..4d043dd95 100644
--- a/Makefile.in
+++ b/Makefile.in
@@ -249,6 +249,18 @@ ghdl_simul: $(GRT_ADD_OBJS) $(GRT_SRC_DEPS) force
libs.vhdl.simul: ghdl_simul
$(MAKE) GHDL=ghdl_simul vhdl.libs.all
+################ mhdlsim (library for the vhdl part) ####################
+
+GHDL_MHDLSIM_INCFLAGS=$(GHDL_COMMON_INCFLAGS) -aI$(srcdir)/src/mhdlsim -aI$(srcdir)/src/ghdldrv -aI$(srcdir)/src/vhdl/simulate -aI$(srcdir)/src/grt
+
+libmhdlsimvhdl.a: $(GRT_ADD_OBJS) $(GRT_SRC_DEPS) force
+ $(GNATMAKE) -c mhdlsim $(GNATFLAGS) $(GHDL_MHDLSIM_INCFLAGS)
+ gnatbind -Lmhdlsim_vhdl_ mhdlsim.ali -O > mhdlsim.files
+ gnatbind -Lmhdlsim_vhdl_ mhdlsim.ali -K -Z > mhdlsim.link
+ $(GNATMAKE) -c b~mhdlsim.adb
+ rm -f $@
+ ar rc $@ b~mhdlsim.o `cat mhdlsim.files` $(GRT_ADD_OBJS)
+
################ ghwdump #################################################
GHWDUMP_OBJS=ghwdump.o ghwlib.o