aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile.in
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-11-02 06:25:11 +0100
committerTristan Gingold <tgingold@free.fr>2016-12-12 04:16:56 +0100
commit0897f0c90d65995da152e1a15ad7a1bca6651cc7 (patch)
tree51432ed2ef0dddf9e1ff4052d850f36669d81376 /Makefile.in
parent5ccabe2c5f9d88b8f3065cafc8c156b35f5fb502 (diff)
downloadghdl-0897f0c90d65995da152e1a15ad7a1bca6651cc7.tar.gz
ghdl-0897f0c90d65995da152e1a15ad7a1bca6651cc7.tar.bz2
ghdl-0897f0c90d65995da152e1a15ad7a1bca6651cc7.zip
ownership: fix ghdlsimul
Diffstat (limited to 'Makefile.in')
-rw-r--r--Makefile.in3
1 files changed, 2 insertions, 1 deletions
diff --git a/Makefile.in b/Makefile.in
index 461ec0a58..f223b0600 100644
--- a/Makefile.in
+++ b/Makefile.in
@@ -271,7 +271,8 @@ GHDL_SIMUL_INCFLAGS=$(GHDL_COMMON_INCFLAGS) -aI$(srcdir)/src/ghdldrv -aI$(srcdir
ghdl_simul$(EXEEXT): $(GRT_ADD_OBJS) $(GRT_SRC_DEPS) version.ads force
$(GNATMAKE) $(GHDL_SIMUL_INCFLAGS) $(GNATFLAGS) ghdl_simul $(GNAT_BARGS) -largs $(GNAT_LARGS) $(GRT_ADD_OBJS) $(subst @,$(GRTSRCDIR),$(GRT_EXTRA_LIB))
-libs.vhdl.simul: ghdl_simul$(EXEEXT) vhdl.libs.all
+libs.vhdl.simul: ghdl_simul$(EXEEXT)
+ $(MAKE) GHDL=$(PWD)/ghdl_simul$(EXEEXT) vhdl.libs.all
################ mhdlsim (library for the vhdl part) ####################