aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-17 22:55:04 +0200
committerUnai Martinez-Corral <38422348+umarcor@users.noreply.github.com>2021-06-17 22:53:27 +0100
commite5891f3900f5e58df37d32c2c18a0f339c094cf4 (patch)
tree98e64225e7e043fa07d4cdce11a3a9bfefb8e5ae
parentf65fff4d5e3a33e83c633eb20fd367e349f3dc92 (diff)
downloadghdl-e5891f3900f5e58df37d32c2c18a0f339c094cf4.tar.gz
ghdl-e5891f3900f5e58df37d32c2c18a0f339c094cf4.tar.bz2
ghdl-e5891f3900f5e58df37d32c2c18a0f339c094cf4.zip
Reduced testcase.
-rw-r--r--testsuite/pyunit/SimplePackage.vhdl11
1 files changed, 1 insertions, 10 deletions
diff --git a/testsuite/pyunit/SimplePackage.vhdl b/testsuite/pyunit/SimplePackage.vhdl
index 0b93adf96..0571e7060 100644
--- a/testsuite/pyunit/SimplePackage.vhdl
+++ b/testsuite/pyunit/SimplePackage.vhdl
@@ -3,20 +3,11 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package pack_1 is
- constant const_1 : boolean;
+ constant const_1 : boolean := false;
- type matrix is array(natural range <>, natural range <>) of std_logic;
-
- subtype matrix8x8 is matrix(7 downto 0, 7 downto 0);
-
- function func1(value : unsigned) return natural;
end package;
package body pack_1 is
constant const_1 : boolean := true;
- function func1(value : unsigned) return natural is
- begin
- return to_integer(value);
- end function;
end package body;