aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
author1138-4EB <1138-4EB@users.noreply.github.com>2017-02-20 04:21:24 +0100
committertgingold <tgingold@users.noreply.github.com>2017-12-10 12:02:05 +0100
commitbfb47bd712511b42c66094c649fee89cd621fe32 (patch)
treefa2100400778266fdfce51e152513cb5233f7518
parent7423f48c2d85c8439181a4213ae65b2c1ed833af (diff)
downloadghdl-bfb47bd712511b42c66094c649fee89cd621fe32.tar.gz
ghdl-bfb47bd712511b42c66094c649fee89cd621fe32.tar.bz2
ghdl-bfb47bd712511b42c66094c649fee89cd621fe32.zip
README.md, index, WhatIsVHDL, WhatIsGHDL ready for review.
Add shortcuts for shields in a single file and include it where used. Create base64 GitHub and Travis-CI logos with b64.io and add them to self-created shields. Replace gitter with shield.io's variant. Start rewriting <Contributing>
-rw-r--r--NEWS.md734
-rw-r--r--README.md28
-rw-r--r--doc/_static/logos/GitHub-Mark-32px.pngbin1714 -> 0 bytes
-rw-r--r--doc/changelog/Roadmap.rst2
-rw-r--r--doc/changelog/index.rst10
-rw-r--r--doc/index.rst46
-rw-r--r--doc/intro/Contributing.rst47
-rw-r--r--doc/intro/Copyrights.rst10
-rw-r--r--doc/intro/WhatIsGHDL.rst23
-rw-r--r--doc/intro/WhatIsVHDL.rst29
-rw-r--r--doc/references/ImplementationOfVHDL.rst2
-rw-r--r--doc/references/ImplementationOfVITAL.rst2
-rw-r--r--doc/shields.txt57
-rw-r--r--doc/using/QuickStartGuide.rst8
14 files changed, 511 insertions, 487 deletions
diff --git a/NEWS.md b/NEWS.md
index 9aedc6596..a48904fea 100644
--- a/NEWS.md
+++ b/NEWS.md
@@ -1,440 +1,438 @@
-# NEWS for ghdl (Dunoon Edition)
-
**v0.34-dev**
-* Display stack backtraces on errors or assert failures
-* Compile scripts for vendor libraries (Xilinx, Altera, osvvm, vunit)
-* Use SSE2 for mcode backend
-* Mode backend ported to `x86_64`
-* Support cocotb [potentialventures/cocotb](https://github.com/potentialventures/cocotb)
-* Main repository is now on github: [github.com/tgingold/ghdl](https://github.com/tgingold/ghdl)
-* Docs available on rtd: [ghdl.readthedocks.org](https://ghdl.readthedocs.org/en/latest/)
-* Speed improved.
-* New option `--psl-report`, to report status of PSL assert and cover.
-* VHDL2008: support nested packages
+- Display stack backtraces on errors or assert failures
+- Compile scripts for vendor libraries (Xilinx, Altera, osvvm, vunit)
+- Use SSE2 for mcode backend
+- Mode backend ported to `x86_64`
+- Support cocotb [potentialventures/cocotb](https://github.com/potentialventures/cocotb)
+- Main repository is now on github: [github.com/tgingold/ghdl](https://github.com/tgingold/ghdl)
+- Docs available on rtd: [ghdl.readthedocks.org](https://ghdl.readthedocs.org/en/latest/)
+- Speed improved.
+- New option `--psl-report`, to report status of PSL assert and cover.
+- VHDL2008: support nested packages
**v0.33** (2015-10-04)
-* Improve support of VHDL2008
-* Support [OSVVM](http://osvvm.org) 2015
-* Support VUnit: [LarsAsplund/vunit](https://github.com/LarsAsplund/vunit)
-* Many bugs fixed
+- Improve support of VHDL2008
+- Support [OSVVM](http://osvvm.org) 2015
+- Support VUnit: [LarsAsplund/vunit](https://github.com/LarsAsplund/vunit)
+- Many bugs fixed
**v0.32** (2014-11-XX)
-* Updated to build with `gcc-4.9.2`.
-* support:
- * partial of VHDL2008 (available with `--std=08`):
- * new `std.env` package.
- * added features in `std.textio` package
- * all standard IEEE packages.
- * new operations (relation operators, maximum and minimum, unary reduction operators)
- * `boolean_vector`, `integer_vector`, `real_vector`.
- * process(all).
- * generic packages and interface package declarations.
- * block comments (aka delimited comments)
- * implicitely defined to_string functions.
- * OSVVM 2014_01
-* Bugs fixed
+- Updated to build with `gcc-4.9.2`.
+- support:
+ - partial of VHDL2008 (available with `--std=08`):
+ - new `std.env` package.
+ - added features in `std.textio` package
+ - all standard IEEE packages.
+ - new operations (relation operators, maximum and minimum, unary reduction operators)
+ - `boolean_vector`, `integer_vector`, `real_vector`.
+ - process(all).
+ - generic packages and interface package declarations.
+ - block comments (aka delimited comments)
+ - implicitely defined to_string functions.
+ - OSVVM 2014_01
+- Bugs fixed
**v0.31** (2014-01-XX)
-* Updated to build with `gcc-4.8.2`.
-* Supports OSVVM (Open-Source VHDL Verification Methodology) (see [osvvm.org](http://osvvm.org)) in its VHDL-2002 form.
-* Adds `'image` and `'value` attributes for all required datatypes
-* Many bugs and support issues fixed.
-* New home on `https://sourceforge.net/projects/ghdl-updates/`
+- Updated to build with `gcc-4.8.2`.
+- Supports OSVVM (Open-Source VHDL Verification Methodology) (see [osvvm.org](http://osvvm.org)) in its VHDL-2002 form.
+- Adds `'image` and `'value` attributes for all required datatypes
+- Many bugs and support issues fixed.
+- New home on `https://sourceforge.net/projects/ghdl-updates/`
**v0.30**
Never released, swith to Dunoon Edition.
**v0.29** (2010-01-09)
-* Initial implementation of embedded PSL assertions.
-* Improve:
- * speed of non-sensitized processes.
- * speed of string case statement (dichotomy instead of linear search).
-* bug fix:
- * and improvements in SDF annotator.
- * when the bound of a string literal type is not locally static.
- * gcov crash
+- Initial implementation of embedded PSL assertions.
+- Improve:
+ - speed of non-sensitized processes.
+ - speed of string case statement (dichotomy instead of linear search).
+- bug fix:
+ - and improvements in SDF annotator.
+ - when the bound of a string literal type is not locally static.
+ - gcov crash
**v0.28** (2009-09-17)
-* Add `--std=08` to enable VHDL2008 features.
-* Support all-sensitized processes from VHDL2008.
-* Documentation typos (thanks to Peter Huewe).
-* bug fix:
- * handle `'pos/'leftof/'rightof/'succ/'pred` in concurrent statements.
- * overloaded resolution functions.
- * direct drivers elaboration for unconstrained array signals.
- * many minor bugs.
+- Add `--std=08` to enable VHDL2008 features.
+- Support all-sensitized processes from VHDL2008.
+- Documentation typos (thanks to Peter Huewe).
+- bug fix:
+ - handle `'pos/'leftof/'rightof/'succ/'pred` in concurrent statements.
+ - overloaded resolution functions.
+ - direct drivers elaboration for unconstrained array signals.
+ - many minor bugs.
**v0.27** (2008-07-01)
-* Improve SDF annotation (handles much more annotations)
-* Add `--ieee-asserts=` option to control assert messages from ieee packages.
-* bug fix:
- * aliases in port map
- * crash during elaboration for top entities with ports/generic
- * crash when string literal in aggregate.
- * concatenation with an array subtype element.
- * non-static subtype used by type conversions in associations.
- * clear timeout in wait for.
- * minor bugs.
+- Improve SDF annotation (handles much more annotations)
+- Add `--ieee-asserts=` option to control assert messages from ieee packages.
+- bug fix:
+ - aliases in port map
+ - crash during elaboration for top entities with ports/generic
+ - crash when string literal in aggregate.
+ - concatenation with an array subtype element.
+ - non-static subtype used by type conversions in associations.
+ - clear timeout in wait for.
+ - minor bugs.
**v0.26** (2007-04-08)
-* `GHDL_PREFIX` environment variable overrides default installation prefix.
-* simulation speed improved with 'direct drivers'.
-* windows version:
- * exceptions are caught
- * use executable path instead of registry for prefix
-* bug fix:
- * individual association by expression (was not working)
- * individual association of string
- * within windows code generator
- * [windows] large local variables crashed
- * crash when overloaded aggregate target.
- * forbid individual association with open
- * crash when bad array prefix
- * correctly extract sensitivity of record aggregate
+- `GHDL_PREFIX` environment variable overrides default installation prefix.
+- simulation speed improved with 'direct drivers'.
+- windows version:
+ - exceptions are caught
+ - use executable path instead of registry for prefix
+- bug fix:
+ - individual association by expression (was not working)
+ - individual association of string
+ - within windows code generator
+ - [windows] large local variables crashed
+ - crash when overloaded aggregate target.
+ - forbid individual association with open
+ - crash when bad array prefix
+ - correctly extract sensitivity of record aggregate
**v0.25** (2006-08-11)
-* VPI functions to schedules values.
-* `math_real` now works under windows.
-* documentation updated for windows.
-* filename/line number displayed for range error during signal update.
-* bug fix:
- * ieee math libraries available when `--ieee=synopsys`.
- * `'image` in package bodies.
- * scan of fp literals.
- * interface identifier is checked for conformance rules.
- * avoid a crash in case of error in configuration specification.
- * non-static choice in unidim case are now correctly checked.
- * do not crash in case of bad use of incomplete type.
- * `'range` are not expressions.
- * handle file declaration in concurrent procedure calls.
- * correctly handle static `'image` attribute.
- * handle in-conversion for signal associated with an expression.
- * emit an error when a function tries to exit without a return.
+- VPI functions to schedules values.
+- `math_real` now works under windows.
+- documentation updated for windows.
+- filename/line number displayed for range error during signal update.
+- bug fix:
+ - ieee math libraries available when `--ieee=synopsys`.
+ - `'image` in package bodies.
+ - scan of fp literals.
+ - interface identifier is checked for conformance rules.
+ - avoid a crash in case of error in configuration specification.
+ - non-static choice in unidim case are now correctly checked.
+ - do not crash in case of bad use of incomplete type.
+ - `'range` are not expressions.
+ - handle file declaration in concurrent procedure calls.
+ - correctly handle static `'image` attribute.
+ - handle in-conversion for signal associated with an expression.
+ - emit an error when a function tries to exit without a return.
**v0.24** (2006-06-25)
-* Handle enums with more than 256 elements.
-* Relax expr static rules in 93c to analyze Xilinx core lib from 8.1
-* man page, `ghdl.1`, added.
-* windows installer improved
-* bug fix:
- * correctly handle empty file name.
- * correctly handle unused subprograms of protected types.
- * avoid a crash if unused library are used.
- * avoid crashes during error reports.
- * add a missing case array conversion.
- * build on `x86_64`.
- * code generated for conversion after mod/rem (windows version).
- * `-fexplicit` crashed with `std.standard` functions.
- * handle recursion of pure/wait checks.
- * correctly handle error cases of user attributes
- * time and character read procedure of std.textio.
- * initialize by value parameters (instead of copy-in).
+- Handle enums with more than 256 elements.
+- Relax expr static rules in 93c to analyze Xilinx core lib from 8.1
+- man page, `ghdl.1`, added.
+- windows installer improved
+- bug fix:
+ - correctly handle empty file name.
+ - correctly handle unused subprograms of protected types.
+ - avoid a crash if unused library are used.
+ - avoid crashes during error reports.
+ - add a missing case array conversion.
+ - build on `x86_64`.
+ - code generated for conversion after mod/rem (windows version).
+ - `-fexplicit` crashed with `std.standard` functions.
+ - handle recursion of pure/wait checks.
+ - correctly handle error cases of user attributes
+ - time and character read procedure of std.textio.
+ - initialize by value parameters (instead of copy-in).
**v0.23** (2006-05-16)
-* bug fix:
- * handle implicit conversion in resolution functions.
- * missing implicit conversion added.
- * avoid a crash in error.
+- bug fix:
+ - handle implicit conversion in resolution functions.
+ - missing implicit conversion added.
+ - avoid a crash in error.
**v0.22** (2006-03-28)
-* direntry added in `ghdl.texi`
-* updated:
- * Documentation (explain bug in Xilinx unisim library).
- * to `gcc 4.1`
- * math_real
-* bug fix:
- * avoid crash if type conversion is indexed/sliced
- * do not allow anymore uncomplete individual association
- * missing check on array association
- * check bounds for val attribute on enumerated type
- * array inequality of locally static expressions evaluated
- * configuration issue
- * `--warn-no-vital-generic` is now working
+- direntry added in `ghdl.texi`
+- updated:
+ - Documentation (explain bug in Xilinx unisim library).
+ - to `gcc 4.1`
+ - math_real
+- bug fix:
+ - avoid crash if type conversion is indexed/sliced
+ - do not allow anymore uncomplete individual association
+ - missing check on array association
+ - check bounds for val attribute on enumerated type
+ - array inequality of locally static expressions evaluated
+ - configuration issue
+ - `--warn-no-vital-generic` is now working
**v0.21** (2005-12-18)
-* local optimizations (loops, indexed name).
-* simulation speed improved by 20% due to processes mngt optimizations.
-* stack-switching code ported to `x86_64` (`amd64/em64t`).
-* stack-switching code ported to `ia64`.
-* `--syn-binding` option extended (see documentation).
-* bug fix:
- * line number for some bound violation messages.
- * improved message error for deferred constants.
- * file parameter for functions.
- * universal real divided by integer handled in locally static expr.
- * `std_ulogic` types and arrays are known by VPI.
- * missing space added in VCDs for integers.
- * `CR+LF` is also end of line for `std.textio.readline`.
- * avoid a crash if parse error on choice.
- * handle `'image` in nested subprograms.
- * handle `'image` for floating point types.
- * do not use varargs C calls.
- * handle missing `EOL` for `readline`.
- * for `x86_64`
+- local optimizations (loops, indexed name).
+- simulation speed improved by 20% due to processes mngt optimizations.
+- stack-switching code ported to `x86_64` (`amd64/em64t`).
+- stack-switching code ported to `ia64`.
+- `--syn-binding` option extended (see documentation).
+- bug fix:
+ - line number for some bound violation messages.
+ - improved message error for deferred constants.
+ - file parameter for functions.
+ - universal real divided by integer handled in locally static expr.
+ - `std_ulogic` types and arrays are known by VPI.
+ - missing space added in VCDs for integers.
+ - `CR+LF` is also end of line for `std.textio.readline`.
+ - avoid a crash if parse error on choice.
+ - handle `'image` in nested subprograms.
+ - handle `'image` for floating point types.
+ - do not use varargs C calls.
+ - handle missing `EOL` for `readline`.
+ - for `x86_64`
**v0.20** (2005-10-15)
-* stack memory usage improved for shortcurt operations.
-* gtkwave now displays nice waves for bit and std_ulogic signals.
-* time unit is displayed in assert/report message.
-* `-fexplicit` option added.
-* integers are now written in VCD files.
-* hash table added for design_units (speed optimization).
-* range checks slightly optimized.
-* `--vcdz` run time option added.
-* improved error message for invalid expressions.
-* grt now compiles with GNAT-GPL-2005 (use a GNAT bug work-around).
-* bug fix:
- * handle more types for `--dump-rti` and `--wave`.
- * `'last_event` and `'last_active` (bad value returned when no previous event or activity).
- * `'image` attribute for physical types (was bad unit).
- * `'image` attribute for locally static integers.
- * for reading `.ghw` files.
- * in `--xref`.
+- stack memory usage improved for shortcurt operations.
+- gtkwave now displays nice waves for bit and std_ulogic signals.
+- time unit is displayed in assert/report message.
+- `-fexplicit` option added.
+- integers are now written in VCD files.
+- hash table added for design_units (speed optimization).
+- range checks slightly optimized.
+- `--vcdz` run time option added.
+- improved error message for invalid expressions.
+- grt now compiles with GNAT-GPL-2005 (use a GNAT bug work-around).
+- bug fix:
+ - handle more types for `--dump-rti` and `--wave`.
+ - `'last_event` and `'last_active` (bad value returned when no previous event or activity).
+ - `'image` attribute for physical types (was bad unit).
+ - `'image` attribute for locally static integers.
+ - for reading `.ghw` files.
+ - in `--xref`.
**v0.19** (2005-08-17)
-* `ADAFLAGS` has been replaced by `GHDL_ADAFLAGS` to ease compilation.
-* `ieee.math_real` and `ieee.math_complex` added (only partially, based on a
+- `ADAFLAGS` has been replaced by `GHDL_ADAFLAGS` to ease compilation.
+- `ieee.math_real` and `ieee.math_complex` added (only partially, based on a
draft).
-* current time is printed with assert/report messages.
-* stack switch assembly code ported to powerpc-linux.
-* documentation on how to use grt from Ada added.
-* allow indexes of indexed names to be non-static in case statements (93c only)
+- current time is printed with assert/report messages.
+- stack switch assembly code ported to powerpc-linux.
+- documentation on how to use grt from Ada added.
+- allow indexes of indexed names to be non-static in case statements (93c only)
(this is not standard, but I can't see why it should be required).
-* unbound ports of entities are now reported with `--warn-binding`.
-* some error or warning messages improved.
-* `--wave` option added to dump waveforms (using ghw file format).
-* bug fix:
- * internal error (missing close_temp for implicit read)
- * `--xref-html`: avoid to reanalyze unit.
- * handle implicit conversion for `'image`.
- * aggregate assigned to an aggregate: avoid crash.
- * array attributes on unconstrained array: avoid crash.
- * `'last_event` returns `time'high` if no event.
- * `'last_event` on array (uninitialized variable).
- * allow calling `rising_edge` with a port of mode buffer.
- * allow aliases of unconstrained arrays.
- * bound error on aggregate with an unused other association.
- * catch indexed/sliced component (was crashing).
- * catch index/slice of a type conversion (was crashing).
- * handle bad component specification in conf (was crashing).
- * missing ports in component (was crashing).
- * component configurations were dicarded by bug in some cases.
- * no more unused warnings for subprograms in architectures (they may be used in configuration).
- * allow conversion in component configuration.
- * conversion in associations with not statically defined array signals.
+- unbound ports of entities are now reported with `--warn-binding`.
+- some error or warning messages improved.
+- `--wave` option added to dump waveforms (using ghw file format).
+- bug fix:
+ - internal error (missing close_temp for implicit read)
+ - `--xref-html`: avoid to reanalyze unit.
+ - handle implicit conversion for `'image`.
+ - aggregate assigned to an aggregate: avoid crash.
+ - array attributes on unconstrained array: avoid crash.
+ - `'last_event` returns `time'high` if no event.
+ - `'last_event` on array (uninitialized variable).
+ - allow calling `rising_edge` with a port of mode buffer.
+ - allow aliases of unconstrained arrays.
+ - bound error on aggregate with an unused other association.
+ - catch indexed/sliced component (was crashing).
+ - catch index/slice of a type conversion (was crashing).
+ - handle bad component specification in conf (was crashing).
+ - missing ports in component (was crashing).
+ - component configurations were dicarded by bug in some cases.
+ - no more unused warnings for subprograms in architectures (they may be used in configuration).
+ - allow conversion in component configuration.
+ - conversion in associations with not statically defined array signals.
**v0.18** (2005-03-12)
-* Keep last line number to speed-up line number look-up (improvement).
-* `--warn-default-binding` added, `--warn-binding` rewritten.
-* `'value` implemented for integer numbers.
-* bug fix:
- * in `textio.read` for time.
- * `file_close` does not crash if file was already closed.
- * spurious unused warnings for protected types.
- * allow subtype names in slice during sensitivity extraction.
- * correctly set the default value of collapsed ports.
- * handling of stack2 (aka large concatenation bug).
+- Keep last line number to speed-up line number look-up (improvement).
+- `--warn-default-binding` added, `--warn-binding` rewritten.
+- `'value` implemented for integer numbers.
+- bug fix:
+ - in `textio.read` for time.
+ - `file_close` does not crash if file was already closed.
+ - spurious unused warnings for protected types.
+ - allow subtype names in slice during sensitivity extraction.
+ - correctly set the default value of collapsed ports.
+ - handling of stack2 (aka large concatenation bug).
**v0.17** (2005-02-26)
-* command `--elab-run` added.
-* Code generation for aggregate improved.
-* Library name of option `--work=` is checked.
-* `--no-run` option added to prevent simulation (may be used to disp tree only).
-* disp signal name in error when multiple sources drive an unresolved signal.
-* `-m`/`--gen-makefile` now handle several libraries.
-* dependences are not stored anymore in libraries (shorter/faster).
-* mentor version of ieee library is now provided.
-* handling of universal types is more consistent with LRM (almost corner cases).
-* iterator and indexes whose bounds were universal expressions are now of type integer or erroneous, according to the weird LRM rules.
-* handle selected name as entity name in architecture/configuration.
-* bug fix:
- * port map with expression (corner case ?).
- * forbid empty extended identifiers.
- * enumeration literal xrefs.
- * non-object name in sensitivity list crashed.
- * correctly handle alias of signals in processes.
+- command `--elab-run` added.
+- Code generation for aggregate improved.
+- Library name of option `--work=` is checked.
+- `--no-run` option added to prevent simulation (may be used to disp tree only).
+- disp signal name in error when multiple sources drive an unresolved signal.
+- `-m`/`--gen-makefile` now handle several libraries.
+- dependences are not stored anymore in libraries (shorter/faster).
+- mentor version of ieee library is now provided.
+- handling of universal types is more consistent with LRM (almost corner cases).
+- iterator and indexes whose bounds were universal expressions are now of type integer or erroneous, according to the weird LRM rules.
+- handle selected name as entity name in architecture/configuration.
+- bug fix:
+ - port map with expression (corner case ?).
+ - forbid empty extended identifiers.
+ - enumeration literal xrefs.
+ - non-object name in sensitivity list crashed.
+ - correctly handle alias of signals in processes.
**v0.16** (2005-01-02)
-* `std.textio`: readline has no limits on line length.
-* command `-r` (run) added.
-* bug fix:
- * better handling of errors in type conversion.
- * few uninitialized variables in ghdl itself caught.
- * parse error: `begin` in aggregates.
- * bad `unused subprogram` warnings.
+- `std.textio`: readline has no limits on line length.
+- command `-r` (run) added.
+- bug fix:
+ - better handling of errors in type conversion.
+ - few uninitialized variables in ghdl itself caught.
+ - parse error: `begin` in aggregates.
+ - bad `unused subprogram` warnings.
**v0.15** (2004-10-13)
-* library file format modified to handle relative pathes.
-* install fixed to use relative pathes.
-* internal change: ortho API modified (constant are not anymore expressions).
+- library file format modified to handle relative pathes.
+- install fixed to use relative pathes.
+- internal change: ortho API modified (constant are not anymore expressions).
**v0.14** (2004-08-29)
-* pretty printing in HTML command, `--pp-html`, added.
-* xref generation in HTML command, `--xref-html`, added.
-* syntax checking command, `-s`, added.
-* Code generation in whole command, `-c files -e unit`, added.
-* warns for unused subprograms.
-* bug box added to help bug reports.
-* `-s` (syntax check) command added.
-* Missing grt subprogram to close non-text file added.
-* maximum line length of `std.textio.readline` extended to 512 characters.
-* `std.textio.readline` assert error on truncated lines.
-* Handle P32 and P64 in `--trace-signals`
-* sequentials statements are not canonicalized (should be faster).
-* `DESTDIR` added in Makefile to ease packaging.
-* for `-m` command, re-parse modified files of the work library.
-* Many checks added on interfaces.
-* Many checks added on associations, better handling of conversions.
-* Checks for unassociated entity ports at elaboration.
-* bug fix:
- * resolution function can be an expanded name.
- * missing type check in a corner case.
- * emit an error when `EOF` is reached while a text file is read.
- * `std.textio.read` for negative number.
- * `std.textio.read` [integer]: correctly handle end of line.
- * parameters of protected type: handled and checked.
- * `gen_tree.c` modified to work with sparc.
+- pretty printing in HTML command, `--pp-html`, added.
+- xref generation in HTML command, `--xref-html`, added.
+- syntax checking command, `-s`, added.
+- Code generation in whole command, `-c files -e unit`, added.
+- warns for unused subprograms.
+- bug box added to help bug reports.
+- `-s` (syntax check) command added.
+- Missing grt subprogram to close non-text file added.
+- maximum line length of `std.textio.readline` extended to 512 characters.
+- `std.textio.readline` assert error on truncated lines.
+- Handle P32 and P64 in `--trace-signals`
+- sequentials statements are not canonicalized (should be faster).
+- `DESTDIR` added in Makefile to ease packaging.
+- for `-m` command, re-parse modified files of the work library.
+- Many checks added on interfaces.
+- Many checks added on associations, better handling of conversions.
+- Checks for unassociated entity ports at elaboration.
+- bug fix:
+ - resolution function can be an expanded name.
+ - missing type check in a corner case.
+ - emit an error when `EOF` is reached while a text file is read.
+ - `std.textio.read` for negative number.
+ - `std.textio.read` [integer]: correctly handle end of line.
+ - parameters of protected type: handled and checked.
+ - `gen_tree.c` modified to work with sparc.
**v0.13** (2004-06-26)
-* support of 64bits integers and 32bits time (not yet user available)
-* handle `'high` and `'low` attributes on non-locally static types and subtypes.
-* Many warning switches added (to control output of warnings).
-* `--gen-makefile` mode added to ghdl (to generate a Makefile)
-* alias identifier restrictions of vhdl-02 implemented.
-* declarative region for architecture from vhdl-02 implemented.
-* buffer port association rules of vhdl-02 implemented.
-* method operator restrictions of vhdl-02 implemented.
-* `'driving` and `'driving_value` implemented.
-* run-time bound check error message now contains file name and line number.
-* strings are not stored anymore with the identifiers.
-* parser does not back-track anymore.
-* bug fix:
- * name clash in generated `.s` files (arch and port/generic names).
- * implicit conversion of signal parameters.
- * handle locally static type conversion of arrays.
- * stabilize during elaboration of an unconstrained signal.
- * revert previous `vhdl87` conf spec bug fix, according to INT-1991 issue 27.
- * multiple visibility of declarations (eg: direct and alias).
- * names attribute of non-object aliases.
+- support of 64bits integers and 32bits time (not yet user available)
+- handle `'high` and `'low` attributes on non-locally static types and subtypes.
+- Many warning switches added (to control output of warnings).
+- `--gen-makefile` mode added to ghdl (to generate a Makefile)
+- alias identifier restrictions of vhdl-02 implemented.
+- declarative region for architecture from vhdl-02 implemented.
+- buffer port association rules of vhdl-02 implemented.
+- method operator restrictions of vhdl-02 implemented.
+- `'driving` and `'driving_value` implemented.
+- run-time bound check error message now contains file name and line number.
+- strings are not stored anymore with the identifiers.
+- parser does not back-track anymore.
+- bug fix:
+ - name clash in generated `.s` files (arch and port/generic names).
+ - implicit conversion of signal parameters.
+ - handle locally static type conversion of arrays.
+ - stabilize during elaboration of an unconstrained signal.
+ - revert previous `vhdl87` conf spec bug fix, according to INT-1991 issue 27.
+ - multiple visibility of declarations (eg: direct and alias).
+ - names attribute of non-object aliases.
**v0.12** (2004-05-30)
-* simulation speed improved (2 fold) due to reduced activity optimization.
-* type conversion handled in associations
-* make mode of ghdl improved.
-* bug fix:
- * attribute specification
- * allow discrete type marks in choices
- * handling of generate statement for VCD
- * allow dereference in variable associations
- * allow function conversion in block port map
- * vhdl87: apply configuration specification inside generate stmts.
- * catch non-passive concurrent procedure calls in entity.
- * association of an unconstrained port with an expression.
- * declaring an uncons. array subtype of an uncons. array subtype.
+- simulation speed improved (2 fold) due to reduced activity optimization.
+- type conversion handled in associations
+- make mode of ghdl improved.
+- bug fix:
+ - attribute specification
+ - allow discrete type marks in choices
+ - handling of generate statement for VCD
+ - allow dereference in variable associations
+ - allow function conversion in block port map
+ - vhdl87: apply configuration specification inside generate stmts.
+ - catch non-passive concurrent procedure calls in entity.
+ - association of an unconstrained port with an expression.
+ - declaring an uncons. array subtype of an uncons. array subtype.
**v0.11.1** (2004-04-24)
-* bug fix:
- * corner case of signal not updated
- * handle `'stable`, `'quiet` with a paramater > 0
- * typos (missing `+ `) in sparc.S
+- bug fix:
+ - corner case of signal not updated
+ - handle `'stable`, `'quiet` with a paramater > 0
+ - typos (missing `+ `) in sparc.S
**v0.11** (2004-04-17)
-* signal collapsing improved.
-* simulation kernel speed improved (maybe 5x faster).
-* `--lines` mode of ghdldrv added.
-* boolean signals are now dumped in vcd files.
-* bug fix:
- * in code generation for an aggregate.
- * run-time check of ascending order of projected transactions.
- * empty sequence of stmts in case alternatives (unidim array).
- * evaluation of locally static 'range attribute.
- * implicit conversion in formal function convertor.
- * return type is a type mark.
+- signal collapsing improved.
+- simulation kernel speed improved (maybe 5x faster).
+- `--lines` mode of ghdldrv added.
+- boolean signals are now dumped in vcd files.
+- bug fix:
+ - in code generation for an aggregate.
+ - run-time check of ascending order of projected transactions.
+ - empty sequence of stmts in case alternatives (unidim array).
+ - evaluation of locally static 'range attribute.
+ - implicit conversion in formal function convertor.
+ - return type is a type mark.
**v0.10** (2004-02-28)
-* architecture with many instances can be compiled with less memory.
-* `--stats` option added to the simulator, to evaluate performance.
-* signals are now collapsed between instances, if possible.
-* simulation is about 3x faster.
-* sparc port available (source only).
-* more checks added for attribute specification.
-* chop command added (split files by design unit).
-* bug fix:
- * absolute source files.
- * empty sequence of statements in case alternatives.
+- architecture with many instances can be compiled with less memory.
+- `--stats` option added to the simulator, to evaluate performance.
+- signals are now collapsed between instances, if possible.
+- simulation is about 3x faster.
+- sparc port available (source only).
+- more checks added for attribute specification.
+- chop command added (split files by design unit).
+- bug fix:
+ - absolute source files.
+ - empty sequence of statements in case alternatives.
**v0.9** (2004-02-01)
-* VITAL level 0 restrictions checks added.
-* VITAL 2000 packages provided.
-* run-time information (such as signal names) rewritten.
-* SDF support added (partial and experimental).
-* bug fix:
- * allow elaboration even if no package body if the package is present in a file but not used by the hierarchy.
- * `delay_length` range is pre-elaborated (was not in v93).
- * crashed when a design unit is not found at elaboration.
- * allow association of `'stable`, `'quiet`... with signal interfaces.
- * concurrent procedure call creates a non-sensitized process.
- * effective value of non-scalar resolved signal might not be set.
+- VITAL level 0 restrictions checks added.
+- VITAL 2000 packages provided.
+- run-time information (such as signal names) rewritten.
+- SDF support added (partial and experimental).
+- bug fix:
+ - allow elaboration even if no package body if the package is present in a file but not used by the hierarchy.
+ - `delay_length` range is pre-elaborated (was not in v93).
+ - crashed when a design unit is not found at elaboration.
+ - allow association of `'stable`, `'quiet`... with signal interfaces.
+ - concurrent procedure call creates a non-sensitized process.
+ - effective value of non-scalar resolved signal might not be set.
**v0.8** (2003-11-05)
-* protected types (from 1076a/1076-2000) implemented.
-* file declarations are finalized in subprograms.
-* an exit call-back has been added in the run-time library.
-* internal modifications (nodes are stored in a table).
-* name of generated executable can be set with `-o` option.
-* IVI (ivi.sourceforge.org) support through a few VPI subprograms.
-* pure and wait checks added.
-* out ports are correctly dumped in VCD files.
-* bug fix:
- * signal declaration not allowed in processes.
- * several bugs fixed.
+- protected types (from 1076a/1076-2000) implemented.
+- file declarations are finalized in subprograms.
+- an exit call-back has been added in the run-time library.
+- internal modifications (nodes are stored in a table).
+- name of generated executable can be set with `-o` option.
+- IVI (ivi.sourceforge.org) support through a few VPI subprograms.
+- pure and wait checks added.
+- out ports are correctly dumped in VCD files.
+- bug fix:
+ - signal declaration not allowed in processes.
+ - several bugs fixed.
**v0.7** (2003-08-02)
-* layout of internal nodes improved.
-* incremental binding (vhdl93).
-* association of in port with expressions (vhdl93).
-* `--disp-time` option added.
-* make mode (`ghdl -m`) rewritten.
-* `'simple_name`, `'path_name` and `'instance_name` added (vhdl93).
-* bug fix:
- * instantiation added in hierarchy.
- * individual association of subelements by expression.
- * `--stop-delta` option is working.
- * correctly handle operators names at function call.
- * several small bugs fixed.
+- layout of internal nodes improved.
+- incremental binding (vhdl93).
+- association of in port with expressions (vhdl93).
+- `--disp-time` option added.
+- make mode (`ghdl -m`) rewritten.
+- `'simple_name`, `'path_name` and `'instance_name` added (vhdl93).
+- bug fix:
+ - instantiation added in hierarchy.
+ - individual association of subelements by expression.
+ - `--stop-delta` option is working.
+ - correctly handle operators names at function call.
+ - several small bugs fixed.
**v0.6** (2003-06-09)
-* internal modifications (single linked list used instead of arrays).
-* Mentor version of std_logic_arith is provided.
-* postponed handled (vhdl 93).
-* declarations allowed in a generate statement (vhdl 93).
-* non object aliases handled (vhdl93).
-* signatures handled (vhdl93).
-* bug fix:
- * `xnor` on `bit` and `boolean` is working.
- * `selected_name` list in use clauses.
- * many other small bug fixes.
+- internal modifications (single linked list used instead of arrays).
+- Mentor version of std_logic_arith is provided.
+- postponed handled (vhdl 93).
+- declarations allowed in a generate statement (vhdl 93).
+- non object aliases handled (vhdl93).
+- signatures handled (vhdl93).
+- bug fix:
+ - `xnor` on `bit` and `boolean` is working.
+ - `selected_name` list in use clauses.
+ - many other small bug fixes.
**v0.5** (2003-05-10)
-* foreign attribute handled. You can now call subprograms defined in a foreign
+- foreign attribute handled. You can now call subprograms defined in a foreign
language (such as C or Ada).
-* ghdl entry point added: you can start the VHDL simulation from your own
+- ghdl entry point added: you can start the VHDL simulation from your own
program.
-* bug fix:
- * triple use.
- * incomplete types
+- bug fix:
+ - triple use.
+ - incomplete types
**v0.4.1** (2003-04-07)
-* bug fix: in the vcd output.
+- bug fix: in the vcd output.
**v0.4** (2003-04-02)
-* `libgrt` does not depend on GNAT library anymore.
+- `libgrt` does not depend on GNAT library anymore.
Installation requirements are reduced.
-* `'delayed` attribute implemented.
-* `'transaction` attribute implemented.
-* unaffected (from vhdl-93) implemented.
-* ghdl action `--disp-standard` prints the std.standard package.
-* exponentiation operator, `**`, implemented for all integer and floating
+- `'delayed` attribute implemented.
+- `'transaction` attribute implemented.
+- unaffected (from vhdl-93) implemented.
+- ghdl action `--disp-standard` prints the std.standard package.
+- exponentiation operator, `**`, implemented for all integer and floating
point types.
-* many other small bug fixes.
-* bug fix: subprogram interfaces are now elaborated.
+- many other small bug fixes.
+- bug fix: subprogram interfaces are now elaborated.
diff --git a/README.md b/README.md
index 3b576418f..ae983e225 100644
--- a/README.md
+++ b/README.md
@@ -1,6 +1,5 @@
-[![Documentation Status](https://readthedocs.org/projects/ghdl/badge/?version=latest)](http://ghdl.readthedocs.io/en/latest/?badge=latest) [![Join the chat at https://gitter.im/ghdl1/Lobby](https://badges.gitter.im/ghdl1/Lobby.svg)](https://gitter.im/ghdl1/Lobby?utm_source=badge&utm_medium=badge&utm_campaign=pr-badge&utm_content=badge)
-
-[![Linux containers at Travis-CI](https://travis-ci.org/tgingold/ghdl.svg?branch=master)](https://travis-ci.org/tgingold/ghdl) [![Windows VMs at AppVeyor](https://ci.appveyor.com/api/projects/status/rsq60m5wcly3og8j?svg=true)](https://ci.appveyor.com/project/tgingold/ghdl) ![Latest tag](https://img.shields.io/github/tag/tgingold/ghdl.svg?style=flat) [![Latest release](https://img.shields.io/github/release/tgingold/ghdl.svg?style=flat)](https://github.com/tgingold/ghdl/releases)
+[![Documentation Status](https://readthedocs.org/projects/ghdl/badge/?version=latest)](http://ghdl.readthedocs.io/en/latest/?badge=latest) [![Join the chat at https://gitter.im/ghdl1/Lobby](https://img.shields.io/gitter/room/ghdl1/Lobby.svg?colorB=4cb696)](https://gitter.im/ghdl1/Lobby?utm_source=badge&utm_medium=badge&utm_campaign=pr-badge&utm_content=badge) [![GNU General Public License 2](https://img.shields.io/badge/code%20license-GPLv2-bd0000.svg?style=flat)](https://github.com/tgingold/ghdl/blob/master/COPYING.md) [![Creative Commons Attribution-ShareAlike](https://img.shields.io/badge/doc%20license-CC--BY--SA-aab2ab.svg?style=flat)](https://github.com/tgingold/ghdl/blob/master/COPYING.md)
+[![Linux containers at Travis-CI](https://img.shields.io/travis/tgingold/ghdl/master.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAYAAABzenr0AAAIP0lEQVR42qVXaUyUWRaFycyP%2BTVLemaSSfrPJN3JZJKOPyY6uBLTQgGlUMVeFHuxFaioKGpcAFFQUaOigAsmo7ghikZtxZVFCCKgqOi4ICoqqLjghqjcOeem%2B5sQFZnuL3l5VV%2B9d%2B9595577iuX%2F%2FcZNWrUX7y8vMyJiYmbpk6d2pKamto5bdq0LnxuT05OPhgUFOQcOXLkP35a7%2Bvr6%2FKLnmXLlun87t27X02YMKFkzpw5va2trXL37l158OCBPHz4UEdXV5fcu3dPbt26Jdu3b%2B%2Fz8%2FNrM5vNf%2BNeAPxlIHAi06xZs7rOnj0rL168kPb2dnn8%2BLE8evToo0EgHPfv35e1a9cKQOT9LKc2m03nyMhIv%2FXr18uzZ8%2F0pDU1NXLz5k0xTzSLycs0cHibBClhBOTJkycKqKmpSZCyGkTv10N27unpqfPw4cNDSkpK5OrVqzSmAHbu3CnXr1%2BXhIQECQkNkeCQYHEkxAPQRHEmJ0taWposWbJEOjs7dX1bW5s0NjYyEtW0OXny5KGBMJlMf1ixYsUHOqYRGmPYi4qK5PLly5KUlCSLFi0S5FqCAaSutk6io6MlMzNTtmzZIkwX9zASdXV10tLSQhALaRtEHdy5iLhYrdbbP53gxo0baqy7u1tWrlxJAErA7sfdYrFYNBINZxv0XUdHh5SXl8uhQ4eMPbW1tfqZqQwODv7rF0%2F%2FPZ6GhoZ%2B5v3AgQNKqqdPnyoBly9fztMxJeSFAeDcuXN8p9Wxf%2F9%2BBfH8%2BXMFcP78eSMlWN%2F8SafDhg0zPqOmG2%2Ffvi137tzRkF%2B6dEny8vLE399fUA1y%2BvRpGtMT%2B1n8JMQWKhUVFQTKiKlzkE7XHjlyRJqbm7lWI3n06NG%2B0aNHfzvAOVDq7Obm9mcIzIbS0lK5cuWKVFVVyerVq2Xv3r2aw5kzZ0pubq6cOnWKNa8njYyKlKRkpyBlPL2yPiUlhfzQVJ04cUI2bdrE1CmI%2Bvp6Wbp06bkRI0ZYBoAA4u9JIIAxGL9jxw5GgeRjCjT8GzdulM2bN2s5btu2TcJsNgmz2yU8IkIBHz58WIm4atUq6enpoS21iagyJbTL1BEYQe8zALi7u%2BdiAxcYg8iZd4a2srJST%2B9wOMTb25tk0gqYiPLjmDRpkkYhJCRE4uPjBeUmBw8e1JJ9%2Ffo108GDGLYJJjw8XAwAY8eOzedLIuZCkoY1Tzbv2rVLEV%2B4cIE5NpSOPCHrORh%2BpoX7GDVqx5kzZ2Tfvn2yZ88eVUVKOG1zMAoRiJoBADnJpEHmiMTh5prqaimHgeLiYs2p0%2BkkETUCXt5eUD8dVDod0A7OjIhGYcGCBVJQUCBlZWVMD21yZjQ1Aj4%2BPv8DMGbMmDCemDJKR%2BPGjRNfhNgeES7hkREML0tIAfiYzWK3h6kA2TBjPUNMkPjdKgmJiRIHdXTEIV0%2B3poWdEqBrGvYs7Oz5dixY0zhfwwAULGvwsLCVMvt4XaJdcRKQlIinahTdEE60FxDyQDOl1Whsrt48WI1Ghpqkxjso3OSkpGaN2%2Be5OfnGwNiRBUlSQnMNqAScEpvi9UiMbGxgj4uqFcl2ty5c2XGjBn6vbCwkGlibbM0WWpaEdAurX0eonhLMbmjJM7JyWFZ0h4JrLYCAwM%2FIJpRsOPqAlTqHPX%2FDXKHU4T2BAYFfsAirQA%2B1HNupNo1NTeR1RzqnJrBCGVkZGiX5MO%2BsBm86evrE9wjpL%2B%2Fn6%2B1R0yfPp0cqfxICRGuDoweEKkxJiaGZaSsfvnypTK8EyLCfK4tLEClPKQ9rqFCsu45U%2FO19gODgmXm7NkQsDICpQ0dGzZsoLBRP%2FrHjx%2F%2Fb5gwnFeDkeunTJnye7J0zZo1zB1DrBtfvXql9ezp4SFubv8Ci09rmeGmQxAsNQWB2xMdajpGurmRI4Zz2KBdKiJ1gNVyLC4uztUFOR6NcJsJBMz%2FHUrwPRWQqnfy5EluplHKL0nIk%2FIdDWl%2Bjx8%2FLu%2Ffv9eajgV33rx5w9Qoy69du8Zy43qt%2B6ysLA7VDcj%2BVj09yuMbzgDBLvhb3AHuV1ZWybp168h8CpPm8O3bt4IOSSlWIwsXLmQFkB%2FMNVlNpSQXWBX8TcO9detW2b17t8yfP5%2BVwshSrlnmjoEXTwWT4moyeda0tl6mkGhIESYC4elY6zCcg%2Fe5MDhPAJZEZYSUgLORd4Cjc%2B4FoBxGjaCQogyWMEVIbYP0f%2FzMXWD8KKvV8i4gIOA8ygcLEzhQQrEwvBgdsBzt%2BSLCeJcRYYulLCvDS3eXwvFSSO4lKF4l0lCB0%2B9CNPORqgpwIq3Fw8PDirT%2F8xOuSUbTgO%2FZ2Yva6uvr0GIb0UrvoSM%2B0ZGenk6RgSL6yAQQc5y7u5ghq%2F4BARJqs1ELlAe9vb1IUTd7B%2FZ3Qaq9nHDugVI3D3YdcwWzv0Y5uqI%2FpOMKTgYbTKYexMXHSaIz6bOD94OJCDfTwT7CywrbMWz24MLbi1R8DdJ%2B5NsQJSxIxeIqCExAVFRU%2B8WLF6WosEiNhIbZ6GRIIzo2WiIiI9gvMEeqPCMFJS6DPUQGyfwNkHZAlhnmtww3DTlTkj%2FliNrPvvHFqFihmC5DfXDyP1nQAZO%2BfFIoXxDb82cdE3gwOiLELuPHxje4c%2Bi1ziZPUzxuPjTyScMcDvCBf1IKCwpBQn90UYfEo2qiYqKVjAGBAc%2B9fXxqQdL0H1V3aBFAGeqMlpnKjmgQD62UTtiy%2FSwWtmYqm5IUXKFeMM%2FsomV2u%2F3vtAEuufysByfgRJX8DshTPU2mPPy7yQBBYzGWgC%2FVkOSXWVmZZHwnSPoD%2FlOmodXSsWFjsOe%2FCAcrQqMD2awAAAAASUVORK5CYII%3D)](https://travis-ci.org/tgingold/ghdl) [![Windows VMs at AppVeyor](https://ci.appveyor.com/api/projects/status/rsq60m5wcly3og8j?svg=true)](https://ci.appveyor.com/project/tgingold/ghdl) ![Latest tag](https://img.shields.io/github/tag/tgingold/ghdl.svg?label=latest%20tag&style=flat) [![Latest release](https://img.shields.io/github/release/tgingold/ghdl.svg?label=latest%20release&style=flat)](https://github.com/tgingold/ghdl/releases)
# GHDL
@@ -22,19 +21,34 @@ Supported third party projects: [VUnit](https://vunit.github.io), [OSVVM](http:/
GHDL is free software:
-- [![GNU General Public License 2](https://img.shields.io/github/license/tgingold/ghdl.svg?style=flat)](https://github.com/tgingold/ghdl/blob/master/COPYING.md)
-- Doc is available on [ghdl.readthedocs.org](https://ghdl.readthedocs.org/en/latest/index.html).
+- [![GNU General Public License 2](https://img.shields.io/github/license/tgingold/ghdl.svg?&colorB=bd0000&style=flat)](https://github.com/tgingold/ghdl/blob/master/COPYING.md)
+- [![Creative Commons Attribution-ShareAlike](https://img.shields.io/badge/doc%20license-Creative%20Commons%20Attribution--ShareAlike-aab2ab.svg?style=flat)](https://github.com/tgingold/ghdl/blob/master/COPYING.md) available at [![ghdl.readthedocs.org](https://img.shields.io/badge/readthedocs-ghdl-aab2ab.svg?style=flat)](https://ghdl.readthedocs.org)
- Some of the runtime libraries, are under different terms; see the individual source files for details.
## Getting GHDL
### Pre-built releases
-You can download pre-built releases for different platforms, backends and library versions from [GitHub releases](https://github.com/1138-4EB/ghdl/releases).
+Periodically (not regularly), several binary distributions are made available through the [releases](https://github.com/tgingold/ghdl/releases) tab. If you can't find the one matching the platform and versions you need, you can build it yourself!
+
+### Docker containers
+
+If you don't want to install the dependencies in your own filesystem, [Docker](https://www.docker.com/) containers can be used. You can have the latest version compiled and automatically placed in your current path with:
+
+```
+wget "https://raw.githubusercontent.com/tgingold/ghdl/master/dist/linux/docker-buildtest.sh"
+chmod +x docker-buildtest.sh
+./docker-buildtest.sh -i "ghdl/ghdl-tools:fedora-llvm-cmp" -b "mcode" -f "ghdl-mcode.tgz" -g
+```
+
+See '[Docker](http://ghdl.readthedocs.io/en/latest/getting/Docker.html)' for a list of available images and compiler options. You will also find images with GHDL installed already, so that you can directly start using it without caring about the platform!
+
+*If you are new to docker, keep in mind that it's not significantly different from the traditional way. Exactly the same commands are executed, but it's done in a box similar to a virtual machine.*
+>>>>>>> README.md, index, WhatIsVHDL, WhatIsGHDL ready for review.
### Building GHDL
-You need the GNU Ada compiler, GNAT GPL, 2014 (or later) for x86 (32 or 64 bits). GNAT GPL can be downloaded anonymously from [libre.adacore.com](http://libre.adacore.com/tools/gnat-gpl-edition/). Then, untar and run the *doinstall* script.
+In order to follow the traditional way to `configure` and `make`, you need the GNU Ada compiler, GNAT GPL, 2014 (or later) for x86 (32 or 64 bits). GNAT GPL can be downloaded anonymously from [libre.adacore.com](http://libre.adacore.com/tools/gnat-gpl-edition/). Then, untar and run the *doinstall* script.
*Depending on the OS and distribution you are using, you will also need to install some toolchain dependencies, such as `zlib`. See '[Building](http://ghdl.readthedocs.io/en/latest/building/index.html)' for specific package names.*
diff --git a/doc/_static/logos/GitHub-Mark-32px.png b/doc/_static/logos/GitHub-Mark-32px.png
deleted file mode 100644
index 8b25551a9..000000000
--- a/doc/_static/logos/GitHub-Mark-32px.png
+++ /dev/null
Binary files differ
diff --git a/doc/changelog/Roadmap.rst b/doc/changelog/Roadmap.rst
index 949286ccc..57998ce58 100644
--- a/doc/changelog/Roadmap.rst
+++ b/doc/changelog/Roadmap.rst
@@ -1,4 +1,4 @@
-.. _INTRO:Contributing:
+.. _CHANGE:Roadmap:
Roadmap | Future improvements
############
diff --git a/doc/changelog/index.rst b/doc/changelog/index.rst
index d33dc0cfa..43367c456 100644
--- a/doc/changelog/index.rst
+++ b/doc/changelog/index.rst
@@ -11,9 +11,7 @@ Change Log
2016/index
2015/index
2014/index
-
-
-
+
.. only:: latex
.. toctree::
@@ -22,9 +20,3 @@ Change Log
2015/index
2016/index
2017/index
-
-------------------------
-
-.. TODO::
-
- - `./NEWS <https://github.com/tgingold/ghdl/blob/master/NEWS>`_
diff --git a/doc/index.rst b/doc/index.rst
index 55bc93101..38f2e9a3b 100644
--- a/doc/index.rst
+++ b/doc/index.rst
@@ -1,44 +1,16 @@
-This manual is the user and reference manual for GHDL. It does not contain an
-introduction to VHDL. Thus, the reader should have at least a basic knowledge
-of VHDL. A good knowledge of VHDL language reference manual (usually called
-LRM) is a plus.
-
-.. only:: html
-
- .. raw:: html
-
- <hr />
+.. include:: shields.txt
- .. image:: /_static/logos/GitHub-Mark-32px.png
- :scale: 60
- :target: https://www.github.com/tgingold/ghdl
- :alt: Source Code on GitHub
- .. image:: https://badges.gitter.im/ghdl/ghdl1.svg
- :target: https://gitter.im/ghdl/ghdl1
- :alt: Join
+|SHIELD:gh-logo| |SHIELD:gitter| |SHIELD:code-lic| |SHIELD:doc-lic| |br| |SHIELD:travis-ci| |SHIELD:appveyor| |SHIELD:tag| |SHIELD:release|
- .. raw:: html
-
- <br />
-
- .. image:: https://travis-ci.org/tgingold/ghdl.svg?branch=master
- :target: https://travis-ci.org/tgingold/ghdl
- :alt: Build status by Travis-CI
- .. image:: https://ci.appveyor.com/api/projects/status/r5dtv6amsppigpsp/branch/release?svg=true
- :target: https://ci.appveyor.com/project/Paebbels/poc/branch/release
- :alt: Build status by AppVeyor
- .. image:: https://img.shields.io/github/tag/tgingold/ghdl.svg?style=flat
- :alt: Latest tag
- .. image:: https://img.shields.io/github/release/tgingold/ghdl.svg?style=flat
- :target: https://github.com/tgingold/ghdl/releases
- :alt: Latest release
- .. image:: https://img.shields.io/github/license/tgingold/ghdl.svg?style=flat
- :target: intro/Copyrights.html
- :alt: GNU General Public License 2
+------------------------------------
- .. raw:: html
+GHDL Documentation
+##################
- <hr />
+This manual is the user and reference manual for GHDL. It does not contain an
+introduction to VHDL. Thus, the reader should have at least a basic knowledge
+of VHDL. A good knowledge of VHDL language reference manual (usually called
+LRM) is a plus.
.. only:: html
diff --git a/doc/intro/Contributing.rst b/doc/intro/Contributing.rst
index 6919d4496..66b5675bd 100644
--- a/doc/intro/Contributing.rst
+++ b/doc/intro/Contributing.rst
@@ -3,22 +3,46 @@
Contributing
############
-Despite all the testing and already reported `issues <https://github.com/tgingold/ghdl/issues>`_, you can find bugs
-or propose enhancements.
+.. include:: ../shields.txt
- .. _reporting_bugs:
+The first step might be to use GHDL and explore it's possibilities in an own project. If you are new to VHDL, see the :ref:`Quick Start Guide <USING:QuickStart>` for an introduction. Furthermore, we encourage you to read :ref:`Invoking GHDL <USING:Invoking>`, where the most commonly used options are explained. You can also check the complete :ref:`Command Reference <REF:Command>`.
+
+If you are more familiar with GHDL, you might start asking yourself how it works internally. Then, you migh find :ref:`Implementation of VHDL <REF:ImplVHDL>` and :ref:`Implementation of VITAL <REF:ImplVITAL>` interesting.
+
+While using GHDL, you might find flaws, such as bugs, missing features, typos in the documentation or topics which are still not covered. In order to improve GHDL, we welcome bugs report and suggestions for any aspect of GHDL. So, please report them so that we are aware!
+
+Either if it's a bug or an enhancement, have a look at the |SHIELD:issues-open| and |SHIELD:issues-closed| to see if someone already told us about it. You might find a solution there. To get a broader view, you can also check the :ref:`Roadmap <CHANGE>`. Then, you can reach us through various ways:
+
+- |SHIELD:gitter|
+- Open a |SHIELD:issues-new|
+- Fork, modify and create a Pull Request on |SHIELD:issues-pr| |SHIELD:issues-pr-closed|
+- Suscribe to the mailing-list |SHIELD:mailing|
+
+The indications below shall help you choose which one to take.
+
+improve doc
+examples
+
+
+If you have an interresting project, please send us feedback or get listed on our :doc:`Who uses GHDL?` page.
+
+Related interesting projects
+==============
+
Asking for enhancements
==============
+
+ .. _reporting_bugs:
Reporting bugs
==============
-In order to improve GHDL, we welcome bugs report and suggestions for
-any aspect of GHDL. Please create an issue on
-https://github.com/tgingold/ghdl/issues
+ If you cannot compile, please report the gcc version, GNAT version and gcc source version.
+ - Minimum-(non)-Working-Example (MWE)
+`How To Ask Questions The Smart Way <www.catb.org/~esr/faqs/smart-questions.html>`_
-If the compiler crashes, this is a bug. Reliable tools never crash.
+If the compiler crashes, this is a bug. Reliable tools never crash.
If your compiled VHDL executable crashes, this may be a bug at
runtime or the code produced may be wrong. However, since VHDL
@@ -67,14 +91,7 @@ Again, rewriting part of it is a good way to improve it.
.. TODO::
- - Reporting bugs
- - [1138: Issues, search first]
- - Minimum-(non)-Working-Example (MWE)
- Pull Requests (PRs)
- Check Building -> GHDL -> Directory Structure]
- Beware that some commit messages can `automatically close <https://help.github.com/articles/closing-issues-via-commit-messages/>`_ PRs]
-
- There is a mailing list for any questions. You can subscribe via: https://mail.gna.org/listinfo/ghdl-discuss/
- Please report bugs on https://github.com/tgingold/ghdl/issues
-
- If you cannot compile, please report the gcc version, GNAT version and gcc source version. \ No newline at end of file
+ \ No newline at end of file
diff --git a/doc/intro/Copyrights.rst b/doc/intro/Copyrights.rst
index 07c1780b3..a178bcd55 100644
--- a/doc/intro/Copyrights.rst
+++ b/doc/intro/Copyrights.rst
@@ -67,9 +67,7 @@ points in distributing VHDL executable. Please, send a comment
- Adam Jensen (FreeBSD builds)
- Felix Bertram (VPI interface)
-with apologies to anyone who ought to be on this list but isn't.
-Thanks also to all those who have reported bugs and support issues,
-and often patches and testcases to either:
-https://gna.org/bugs/?group=ghdl
-or
-https://sourceforge.net/p/ghdl-updates/tickets/ \ No newline at end of file
+ with apologies to anyone who ought to be on this list but isn't. Thanks also to all those who have reported bugs and support issues, and often patches and testcases to either: https://gna.org/bugs/?group=ghdl or https://sourceforge.net/p/ghdl-updates/tickets/
+
+ https://en.wikipedia.org/wiki/Wikipedia:Copyrights#Reusers.27_rights_and_obligations
+ https://en.wikipedia.org/wiki/Wikipedia:General_disclaimer \ No newline at end of file
diff --git a/doc/intro/WhatIsGHDL.rst b/doc/intro/WhatIsGHDL.rst
index 449ef5d01..5733c6869 100644
--- a/doc/intro/WhatIsGHDL.rst
+++ b/doc/intro/WhatIsGHDL.rst
@@ -5,25 +5,14 @@
What is `GHDL`?
###############
-`GHDL` is a shorthand for G Hardware Design Language. Currently, `G` has no
-meaning.
+`GHDL` is a shorthand for `G Hardware Design Language` (currently, `G` has no meaning). It is a `VHDL` compiler that can execute (nearly) any `VHDL` program. `GHDL` is *not* a synthesis tool: you cannot create a netlist with `GHDL` (yet).
-`GHDL` is a `VHDL` compiler that can execute (nearly) any `VHDL` program. `GHDL`
-is *not* a synthesis tool: you cannot create a netlist with `GHDL`.
+Unlike some other simulators, `GHDL` is a compiler: it directly translates a `VHDL` file to machine code, without using an intermediary language such as `C` or `C++`. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
-Unlike some other simulators, `GHDL` is a compiler: it directly translates a
-`VHDL` file to machine code, using the `GCC` or `LLVM` back-end and without
-using an intermediary language such as `C` or `C++`. Therefore, the compiled
-code should be faster and the analysis time should be shorter than with a
-compiler using an intermediary language.
+`GHDL` can use multiple back-ends, i.e. code generators, (`GCC <http://gcc.gnu.org/>`_, `LLVM <http://llvm.org/>`_ or `x86 <https://en.wikipedia.org/wiki/X86-64>`_/`i386 <https://en.wikipedia.org/wiki/Intel_80386>`_ only, a built-in one) and runs on `GNU/Linux <http://en.wikipedia.org/wiki/Linux_distribution>`_, `Windows <http://en.wikipedia.org/wiki/Microsoft_Windows>`_ |trade| and `macOS <http://en.wikipedia.org/wiki/MacOS>`_ |trade| , both on `x86` and on `x86_64`.
-The Windows\ |trade| version of `GHDL` is not based on `GCC` but on an internal
-code generator.
+The current version of `GHDL` does not contain any graphical viewer: you cannot see signal waves. You can still check the behaviour of your design with a test bench. Moreover, the current version can produce a `GHW <http://ghdl.readthedocs.io/en/latest/using/Simulation.html?highlight=GHW#cmdoption-wave>`_, `VCD <https://en.wikipedia.org/wiki/Value_change_dump>`_ or `FST` files which can be viewed with a `waveform viewer <https://en.wikipedia.org/wiki/Waveform_viewer>`_, such as `GtkWave <http://gtkwave.sourceforge.net/>`_.
-The current version of `GHDL` does not contain any graphical viewer: you cannot
-see signal waves. You can still check with a test bench. The current version can
-produce a `VCD` file which can be viewed with a wave viewer, as well as `ghw`
-files to be viewed by `gtkwave`.
+`GHDL` aims at implementing `VHDL` as defined by `IEEE 1076 <http://ieeexplore.ieee.org/document/4772740/>`_. It supports the `1987 <http://ieeexplore.ieee.org/document/26487/>`_, `1993 <http://ieeexplore.ieee.org/document/392561/>`_ and `2002 <http://ieeexplore.ieee.org/document/1003477/>`_ revisions and, partially, the latest, `2008 <http://ieeexplore.ieee.org/document/4772740/>`_. `PSL <https://en.wikipedia.org/wiki/Property_Specification_Language>`_ is also partially supported.
-`GHDL` aims at implementing `VHDL` as defined by IEEE 1076. It supports most of
-the 1987 standard and most features added by the 1993 standard.
+Several third party projects are supported: `VUnit <https://vunit.github.io/>`_, `OSVVM <http://osvvm.org/>`_, `cocotb <https://github.com/potentialventures/cocotb>`_ (through the `VPI interface <https://en.wikipedia.org/wiki/Verilog_Procedural_Interface>`_), ...
diff --git a/doc/intro/WhatIsVHDL.rst b/doc/intro/WhatIsVHDL.rst
index 4d40e0c59..06696d75d 100644
--- a/doc/intro/WhatIsVHDL.rst
+++ b/doc/intro/WhatIsVHDL.rst
@@ -3,31 +3,12 @@
What is `VHDL`?
###############
-`VHDL` is an acronym for Very High Speed Integrated Circuit Hardware Description
-Language which is a programming language used to describe a logic circuit by
-function, data flow behavior, or structure.
+`VHDL <https://en.wikipedia.org/wiki/VHDL>`_ is an acronym for Very High Speed Integrated Circuit (`VHSIC <https://en.wikipedia.org/wiki/VHSIC>`_) `Hardware Description Language <https://en.wikipedia.org/wiki/Hardware_description_language>`_ which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
-`VHDL` *is* a programming language: although `VHDL` was not designed for writing
-general purpose programs, you can write any algorithm with the `VHDL` language.
-If you are able to write programs, you will find in `VHDL` features similar to
-those found in procedural languages such as `C`, `Python`, or `Ada`. `VHDL`
-derives most of its syntax and semantics from `Ada`. Knowing `Ada` is an
-advantage for learning `VHDL` (it is an advantage in general as well).
+Although `VHDL` was not designed for writing general purpose programs, `VHDL` *is* a programming language, and you can write any algorithm with it. If you are able to write programs, you will find in `VHDL` features similar to those found in procedural languages such as `C`, `Python`, or `Ada`. Indeed, `VHDL` derives most of its syntax and semantics from `Ada`. Knowing `Ada` is an advantage for learning `VHDL` (it is an advantage in general as well).
-However, `VHDL` was not designed as a general purpose language but as an `HDL`
-(hardware description language). As the name implies, `VHDL` aims at modeling or
-documenting electronics systems. Due to the nature of hardware components which
-are always running, `VHDL` is a highly concurrent language, built upon an
-event-based timing model.
+However, `VHDL` was not designed as a general purpose language but as an `HDL`. As the name implies, `VHDL` aims at modeling or documenting electronics systems. Due to the nature of hardware components which are always running, `VHDL` is a highly concurrent language, built upon an event-based timing model.
-Like a program written in any other language, a `VHDL` program can be executed.
-Since `VHDL` is used to model designs, the term :dfn:`simulation` is often used
-instead of `execution`, with the same meaning.
+Like a program written in any other language, a `VHDL` program can be executed. Since `VHDL` is used to model designs, the term :dfn:`simulation` is often used instead of `execution`, with the same meaning. At the same time, like a design written in another `HDL`, a set of `VHDL` sources can be transformed with a :dfn:`synthesis tool` into a netlist, that is, a detailed gate-level implementation.
-Like a program written in another hardware description language, a `VHDL`
-program can be transformed with a :dfn:`synthesis tool` into a netlist, that is,
-a detailed gate-level implementation.
-
-.. TODO::
-
- very very briefly explain that there are four major verions: 87, 93, 02 and 08 \ No newline at end of file
+The development of `VHDL` started in 1983 and the standard is named `IEEE <https://www.ieee.org/>`_ `1076`. Four revisions exist: `1987 <http://ieeexplore.ieee.org/document/26487/>`_, `1993 <http://ieeexplore.ieee.org/document/392561/>`_, `2002 <http://ieeexplore.ieee.org/document/1003477/>`_ and `2008 <http://ieeexplore.ieee.org/document/4772740/>`_. The standarization is handled by the VHDL Analysis and Standardization Group (`VASG/P1076 <http://www.eda-twiki.org/vasg/>`_).
diff --git a/doc/references/ImplementationOfVHDL.rst b/doc/references/ImplementationOfVHDL.rst
index 6919d0ef8..8eaa04a81 100644
--- a/doc/references/ImplementationOfVHDL.rst
+++ b/doc/references/ImplementationOfVHDL.rst
@@ -1,7 +1,7 @@
.. _REF:ImplVHDL:
***************************
-GHDL implementation of VHDL
+Implementation of VHDL
***************************
This chapter describes several implementation defined aspect of VHDL in GHDL.
diff --git a/doc/references/ImplementationOfVITAL.rst b/doc/references/ImplementationOfVITAL.rst
index 4ffb8159b..3cf99663c 100644
--- a/doc/references/ImplementationOfVITAL.rst
+++ b/doc/references/ImplementationOfVITAL.rst
@@ -1,7 +1,7 @@
.. _REF:ImplVITAL:
****************************
-GHDL implementation of VITAL
+Implementation of VITAL
****************************
.. index:: VITAL
diff --git a/doc/shields.txt b/doc/shields.txt
new file mode 100644
index 000000000..1bdd98bac
--- /dev/null
+++ b/doc/shields.txt
@@ -0,0 +1,57 @@
+.. This file provides the following shields: travis-ci appveyor tag release license mailing gitter issues-new issues-open issues-closed issues-pr issues-pr-closed github gh-logo
+
+.. Use http://b64.io/ to encode any image to base64. Then replace `/` with `%2F` and `+` with `%2B` (or use http://meyerweb.com/eric/tools/dencoder/). Beware that `?logo=data:image/png;base64,` must also be converted to percent encoding so that the URL is properly parsed.
+
+.. |SHIELD:travis-ci| image:: https://img.shields.io/travis/tgingold/ghdl/master.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAYAAABzenr0AAAIP0lEQVR42qVXaUyUWRaFycyP%2BTVLemaSSfrPJN3JZJKOPyY6uBLTQgGlUMVeFHuxFaioKGpcAFFQUaOigAsmo7ghikZtxZVFCCKgqOi4ICoqqLjghqjcOeem%2B5sQFZnuL3l5VV%2B9d%2B9595577iuX%2F%2FcZNWrUX7y8vMyJiYmbpk6d2pKamto5bdq0LnxuT05OPhgUFOQcOXLkP35a7%2Bvr6%2FKLnmXLlun87t27X02YMKFkzpw5va2trXL37l158OCBPHz4UEdXV5fcu3dPbt26Jdu3b%2B%2Fz8%2FNrM5vNf%2BNeAPxlIHAi06xZs7rOnj0rL168kPb2dnn8%2BLE8evToo0EgHPfv35e1a9cKQOT9LKc2m03nyMhIv%2FXr18uzZ8%2F0pDU1NXLz5k0xTzSLycs0cHibBClhBOTJkycKqKmpSZCyGkTv10N27unpqfPw4cNDSkpK5OrVqzSmAHbu3CnXr1%2BXhIQECQkNkeCQYHEkxAPQRHEmJ0taWposWbJEOjs7dX1bW5s0NjYyEtW0OXny5KGBMJlMf1ixYsUHOqYRGmPYi4qK5PLly5KUlCSLFi0S5FqCAaSutk6io6MlMzNTtmzZIkwX9zASdXV10tLSQhALaRtEHdy5iLhYrdbbP53gxo0baqy7u1tWrlxJAErA7sfdYrFYNBINZxv0XUdHh5SXl8uhQ4eMPbW1tfqZqQwODv7rF0%2F%2FPZ6GhoZ%2B5v3AgQNKqqdPnyoBly9fztMxJeSFAeDcuXN8p9Wxf%2F9%2BBfH8%2BXMFcP78eSMlWN%2F8SafDhg0zPqOmG2%2Ffvi137tzRkF%2B6dEny8vLE399fUA1y%2BvRpGtMT%2B1n8JMQWKhUVFQTKiKlzkE7XHjlyRJqbm7lWI3n06NG%2B0aNHfzvAOVDq7Obm9mcIzIbS0lK5cuWKVFVVyerVq2Xv3r2aw5kzZ0pubq6cOnWKNa8njYyKlKRkpyBlPL2yPiUlhfzQVJ04cUI2bdrE1CmI%2Bvp6Wbp06bkRI0ZYBoAA4u9JIIAxGL9jxw5GgeRjCjT8GzdulM2bN2s5btu2TcJsNgmz2yU8IkIBHz58WIm4atUq6enpoS21iagyJbTL1BEYQe8zALi7u%2BdiAxcYg8iZd4a2srJST%2B9wOMTb25tk0gqYiPLjmDRpkkYhJCRE4uPjBeUmBw8e1JJ9%2Ffo108GDGLYJJjw8XAwAY8eOzedLIuZCkoY1Tzbv2rVLEV%2B4cIE5NpSOPCHrORh%2BpoX7GDVqx5kzZ2Tfvn2yZ88eVUVKOG1zMAoRiJoBADnJpEHmiMTh5prqaimHgeLiYs2p0%2BkkETUCXt5eUD8dVDod0A7OjIhGYcGCBVJQUCBlZWVMD21yZjQ1Aj4%2BPv8DMGbMmDCemDJKR%2BPGjRNfhNgeES7hkREML0tIAfiYzWK3h6kA2TBjPUNMkPjdKgmJiRIHdXTEIV0%2B3poWdEqBrGvYs7Oz5dixY0zhfwwAULGvwsLCVMvt4XaJdcRKQlIinahTdEE60FxDyQDOl1Whsrt48WI1Ghpqkxjso3OSkpGaN2%2Be5OfnGwNiRBUlSQnMNqAScEpvi9UiMbGxgj4uqFcl2ty5c2XGjBn6vbCwkGlibbM0WWpaEdAurX0eonhLMbmjJM7JyWFZ0h4JrLYCAwM%2FIJpRsOPqAlTqHPX%2FDXKHU4T2BAYFfsAirQA%2B1HNupNo1NTeR1RzqnJrBCGVkZGiX5MO%2BsBm86evrE9wjpL%2B%2Fn6%2B1R0yfPp0cqfxICRGuDoweEKkxJiaGZaSsfvnypTK8EyLCfK4tLEClPKQ9rqFCsu45U%2FO19gODgmXm7NkQsDICpQ0dGzZsoLBRP%2FrHjx%2F%2Fb5gwnFeDkeunTJnye7J0zZo1zB1DrBtfvXql9ezp4SFubv8Ci09rmeGmQxAsNQWB2xMdajpGurmRI4Zz2KBdKiJ1gNVyLC4uztUFOR6NcJsJBMz%2FHUrwPRWQqnfy5EluplHKL0nIk%2FIdDWl%2Bjx8%2FLu%2Ffv9eajgV33rx5w9Qoy69du8Zy43qt%2B6ysLA7VDcj%2BVj09yuMbzgDBLvhb3AHuV1ZWybp168h8CpPm8O3bt4IOSSlWIwsXLmQFkB%2FMNVlNpSQXWBX8TcO9detW2b17t8yfP5%2BVwshSrlnmjoEXTwWT4moyeda0tl6mkGhIESYC4elY6zCcg%2Fe5MDhPAJZEZYSUgLORd4Cjc%2B4FoBxGjaCQogyWMEVIbYP0f%2FzMXWD8KKvV8i4gIOA8ygcLEzhQQrEwvBgdsBzt%2BSLCeJcRYYulLCvDS3eXwvFSSO4lKF4l0lCB0%2B9CNPORqgpwIq3Fw8PDirT%2F8xOuSUbTgO%2FZ2Yva6uvr0GIb0UrvoSM%2B0ZGenk6RgSL6yAQQc5y7u5ghq%2F4BARJqs1ELlAe9vb1IUTd7B%2FZ3Qaq9nHDugVI3D3YdcwWzv0Y5uqI%2FpOMKTgYbTKYexMXHSaIz6bOD94OJCDfTwT7CywrbMWz24MLbi1R8DdJ%2B5NsQJSxIxeIqCExAVFRU%2B8WLF6WosEiNhIbZ6GRIIzo2WiIiI9gvMEeqPCMFJS6DPUQGyfwNkHZAlhnmtww3DTlTkj%2FliNrPvvHFqFihmC5DfXDyP1nQAZO%2BfFIoXxDb82cdE3gwOiLELuPHxje4c%2Bi1ziZPUzxuPjTyScMcDvCBf1IKCwpBQn90UYfEo2qiYqKVjAGBAc%2B9fXxqQdL0H1V3aBFAGeqMlpnKjmgQD62UTtiy%2FSwWtmYqm5IUXKFeMM%2FsomV2u%2F3vtAEuufysByfgRJX8DshTPU2mPPy7yQBBYzGWgC%2FVkOSXWVmZZHwnSPoD%2FlOmodXSsWFjsOe%2FCAcrQqMD2awAAAAASUVORK5CYII%3D
+ :target: https://travis-ci.org/tgingold/ghdl
+ :alt: Build status by Travis-CI
+
+.. |SHIELD:appveyor| image:: https://ci.appveyor.com/api/projects/status/rsq60m5wcly3og8j?svg=true
+ :target: https://ci.appveyor.com/project/Paebbels/poc/branch/release
+ :alt: Build status by AppVeyor
+
+.. |SHIELD:tag| image:: https://img.shields.io/github/tag/tgingold/ghdl.svg?label=latest%20tag&style=flat
+ :target: https://github.com/tgingold/ghdl/tags
+ :alt: Latest tag
+
+.. |SHIELD:release| image:: https://img.shields.io/github/release/tgingold/ghdl.svg?label=latest%20release&style=flat
+ :target: https://github.com/tgingold/ghdl/releases
+ :alt: Latest release
+
+.. |SHIELD:code-lic| image:: https://img.shields.io/badge/code%20license-GPLv2-bd0000.svg?style=flat
+ :target: intro/Copyrights.html
+ :alt: GNU General Public License 2
+
+.. |SHIELD:doc-lic| image:: https://img.shields.io/badge/doc%20license-CC--BY--SA-aab2ab.svg?style=flat
+ :target: intro/Copyrights.html
+ :alt: Creative Commons Attribution-ShareAlike
+
+.. |SHIELD:mailing| image:: https://img.shields.io/badge/mailgnaorgghdl-discuss-ff69b4.svg?style=flat
+ :target: https://mail.gna.org/listinfo/ghdl-discuss/
+
+.. |SHIELD:gitter| image:: https://img.shields.io/gitter/room/ghdl1/Lobby.svg?colorB=4cb696
+ :target: https://gitter.im/ghdl/ghdl1
+ :alt: Talk to us on Gitter
+
+.. |SHIELD:issues-new| image:: https://img.shields.io/badge/tgingold/issues-new-yellow.svg?style=flat
+ :target: https://github.com/tgingold/ghdl/issues/new
+
+.. |SHIELD:issues-open| image:: https://img.shields.io/github/issues/tgingold/ghdl.svg
+ :target: https://github.com/tgingold/ghdl/issues
+
+.. |SHIELD:issues-closed| image:: https://img.shields.io/github/issues-closed-raw/tgingold/ghdl.svg?style=flat
+ :target: https://github.com/tgingold/ghdl/issues?q=is%3Aissue+is%3Aclosed
+
+.. |SHIELD:issues-pr| image:: https://img.shields.io/github/issues-pr/tgingold/ghdl.svg?style=flat
+ :target: https://github.com/tgingold/ghdl/pulls
+
+.. |SHIELD:issues-pr-closed| image:: https://img.shields.io/github/issues-pr-closed-raw/tgingold/ghdl.svg?style=flat
+ :target: https://github.com/tgingold/ghdl/pulls
+
+.. |SHIELD:github| image:: https://img.shields.io/badge/GitHub-tgingold/ghdl-323131.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAMAAABEpIrGAAABKVBMVEX%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2FOe9X6AAAAYnRSTlMAAQIDBAcIDA0PEBESFBUWGBkeHyEoMTIzNDU4OTw%2BP0JGWVxeX2BiY2RlZ2hvc3R1eHp%2Bio%2BXm52epKmqq62usLe5wcLDxMnQ0dLW2tvc3t%2Fh4uPo6uvs8fLz9fb3%2Bvv8%2FsuNaVkAAAF7SURBVHgBjdHZW9NAFAXwE0iElCARZCkuIotEUFyQBSJERBEILaAo6d6e%2F%2F%2BPcO58aZslD%2Fxe5mHuPfOdbzA06R1e1sn65aE3ibw5v8OBjj%2BHNHuvx5Tenp1av2bOdSJkKWKBaAmxhYiFogVoEzckw%2BModXcckryZgDigsgLLu1MVT09V1TvPwgqVAyhlinmpMjsCZWRWCsxTlAEEFIvIWKQIALdLsYaMNYqui02KPw4ynN8Um%2FAp1pGzTuEj1Ek2cmz9dogmlVsUuKXSBEUFBaoUoKihQI0iPqaQMxWvnlOsImeV4hz7FBcmMswLin0sU9tBxg61ZYw3qB25SHhyRK0xDuySX179INtfN8qjOvz5u6BNjbsAplvsbVm%2FqAQGFOMb%2B1rTULZJPnsqf%2FMC2kv2bUOYZ%2BR3lLzPr0ehPWbszITmVsn3GCqRWtVFbKZC%2Fvz45tOj1EBlBgPOCUUpOXDiIMF4%2Bzc98G%2FDQNrY1tW9Bc26v%2Fowhof6D6AkqSgsdGGuAAAAAElFTkSuQmCC
+ :target: https://www.github.com/tgingold/ghdl
+ :alt: Source Code on GitHub
+
+.. |SHIELD:gh-logo| image:: https://img.shields.io/badge/-tgingold/ghdl-323131.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAMAAABEpIrGAAABKVBMVEX%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2FOe9X6AAAAYnRSTlMAAQIDBAcIDA0PEBESFBUWGBkeHyEoMTIzNDU4OTw%2BP0JGWVxeX2BiY2RlZ2hvc3R1eHp%2Bio%2BXm52epKmqq62usLe5wcLDxMnQ0dLW2tvc3t%2Fh4uPo6uvs8fLz9fb3%2Bvv8%2FsuNaVkAAAF7SURBVHgBjdHZW9NAFAXwE0iElCARZCkuIotEUFyQBSJERBEILaAo6d6e%2F%2F%2BPcO58aZslD%2Fxe5mHuPfOdbzA06R1e1sn65aE3ibw5v8OBjj%2BHNHuvx5Tenp1av2bOdSJkKWKBaAmxhYiFogVoEzckw%2BModXcckryZgDigsgLLu1MVT09V1TvPwgqVAyhlinmpMjsCZWRWCsxTlAEEFIvIWKQIALdLsYaMNYqui02KPw4ynN8Um%2FAp1pGzTuEj1Ek2cmz9dogmlVsUuKXSBEUFBaoUoKihQI0iPqaQMxWvnlOsImeV4hz7FBcmMswLin0sU9tBxg61ZYw3qB25SHhyRK0xDuySX179INtfN8qjOvz5u6BNjbsAplvsbVm%2FqAQGFOMb%2B1rTULZJPnsqf%2FMC2kv2bUOYZ%2BR3lLzPr0ehPWbszITmVsn3GCqRWtVFbKZC%2Fvz45tOj1EBlBgPOCUUpOXDiIMF4%2Bzc98G%2FDQNrY1tW9Bc26v%2Fowhof6D6AkqSgsdGGuAAAAAElFTkSuQmCC
+ :target: https://www.github.com/tgingold/ghdl
+ :alt: Source Code on GitHub
diff --git a/doc/using/QuickStartGuide.rst b/doc/using/QuickStartGuide.rst
index bbaf3894d..e921199fa 100644
--- a/doc/using/QuickStartGuide.rst
+++ b/doc/using/QuickStartGuide.rst
@@ -87,7 +87,7 @@ A full adder
============
VHDL is generally used for hardware design. This example starts with
-a full adder described in the :file:`adder.vhdl` file:
+a `full adder <https://en.wikipedia.org/wiki/Adder_(electronics)#Full_adder>`_ described in the :file:`adder.vhdl` file:
.. code-block:: VHDL
@@ -357,3 +357,9 @@ this VHDL dialect through some options::
See :ref:`IEEE_library_pitfalls`, for more details.
+Further examples
+=======================
+
+.. TODO::
+
+ Add references to examples/tutorials with GHDL. \ No newline at end of file