aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-27 22:44:03 +0100
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-27 22:44:03 +0100
commita525cd1f73760041f2d8c3dcc4f4e09eb024cff7 (patch)
tree66ae7b8deb5a30d15609e9aeb47a725ef2d4f95a
parent6ac6c1933ecf06ee908ac4e0f0ad27d532ba7d88 (diff)
downloadghdl-a525cd1f73760041f2d8c3dcc4f4e09eb024cff7.tar.gz
ghdl-a525cd1f73760041f2d8c3dcc4f4e09eb024cff7.tar.bz2
ghdl-a525cd1f73760041f2d8c3dcc4f4e09eb024cff7.zip
Fixed context and package names and their references in VHDL example code.
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/Counter.vhdl2
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/Debouncer.vhdl2
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl2
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/StopWatch.vhdl4
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/seg7_Display.vhdl4
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/toplevel.Display.vhdl4
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/toplevel.Encoder.vhdl2
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.tb.vhdl7
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.vhdl4
9 files changed, 20 insertions, 11 deletions
diff --git a/testsuite/pyunit/dom/examples/StopWatch/Counter.vhdl b/testsuite/pyunit/dom/examples/StopWatch/Counter.vhdl
index 3ef284b98..b26a0fa09 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/Counter.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/Counter.vhdl
@@ -7,7 +7,7 @@ library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-use work.Utilities.all;
+use work.Utilities_pkg.all;
entity Counter is
diff --git a/testsuite/pyunit/dom/examples/StopWatch/Debouncer.vhdl b/testsuite/pyunit/dom/examples/StopWatch/Debouncer.vhdl
index 18207c7f1..4e687da0b 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/Debouncer.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/Debouncer.vhdl
@@ -7,7 +7,7 @@ library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-use work.Utilities.all;
+use work.Utilities_pkg.all;
entity Debouncer is
diff --git a/testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl b/testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl
index ea66f7597..dc89d5b3b 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl
@@ -5,7 +5,7 @@
--
context StopWatch_ctx is
library lib_Utilities;
- context lib_Utilities.Utilities_pkg;
+ context lib_Utilities.Utilities_ctx;
use work.StopWatch_pkg.all;
end context;
diff --git a/testsuite/pyunit/dom/examples/StopWatch/StopWatch.vhdl b/testsuite/pyunit/dom/examples/StopWatch/StopWatch.vhdl
index c7c9068ab..3d73fa0fa 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/StopWatch.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/StopWatch.vhdl
@@ -7,7 +7,9 @@ library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-use work.Utilities.all;
+library lib_Utilities;
+use lib_Utilities.Utilities_pkg.all;
+
use work.StopWatch_pkg.all;
diff --git a/testsuite/pyunit/dom/examples/StopWatch/seg7_Display.vhdl b/testsuite/pyunit/dom/examples/StopWatch/seg7_Display.vhdl
index c3771ba68..12e8e55aa 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/seg7_Display.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/seg7_Display.vhdl
@@ -7,7 +7,9 @@ library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-use work.Utilities.all;
+library lib_Utilities;
+use lib_Utilities.Utilities_pkg.all;
+
use work.StopWatch_pkg.all;
diff --git a/testsuite/pyunit/dom/examples/StopWatch/toplevel.Display.vhdl b/testsuite/pyunit/dom/examples/StopWatch/toplevel.Display.vhdl
index 67228a5ac..648ab81e4 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/toplevel.Display.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/toplevel.Display.vhdl
@@ -7,7 +7,9 @@ library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-use work.Utilities.all;
+library lib_Utilities;
+use lib_Utilities.Utilities_pkg.all;
+
use work.StopWatch_pkg.all;
diff --git a/testsuite/pyunit/dom/examples/StopWatch/toplevel.Encoder.vhdl b/testsuite/pyunit/dom/examples/StopWatch/toplevel.Encoder.vhdl
index de18778a0..17f7c6b19 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/toplevel.Encoder.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/toplevel.Encoder.vhdl
@@ -10,7 +10,7 @@ use IEEE.numeric_std.all;
library lib_Utilities;
use lib_Utilities.Utilities_pkg.all;
-use lib_StopWatch.StopWatch_pkg.all;
+use work.StopWatch_pkg.all;
-- Toplevel module to demonstrate the translation of 4 slide-switches to 1 digit 7-segment display.
diff --git a/testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.tb.vhdl b/testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.tb.vhdl
index a334475c4..87cd75829 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.tb.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.tb.vhdl
@@ -7,9 +7,10 @@ library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-library lib_StopWatch;
-use lib_StopWatch.Utilities.all;
-use lib_StopWatch.StopWatch_pkg.all;
+library lib_Utilities;
+use lib_Utilities.Utilities_pkg.all;
+
+use work.StopWatch_pkg.all;
entity toplevel_tb is
diff --git a/testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.vhdl b/testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.vhdl
index 1c8547446..7bb6e9cd9 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.vhdl
@@ -7,7 +7,9 @@ library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-use work.Utilities.all;
+library lib_Utilities;
+use lib_Utilities.Utilities_pkg.all;
+
use work.StopWatch_pkg.all;