aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/ghdl-issues/issue2392b/compare_psl_p_plus.sby
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/ghdl-issues/issue2392b/compare_psl_p_plus.sby')
-rw-r--r--testsuite/ghdl-issues/issue2392b/compare_psl_p_plus.sby20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/ghdl-issues/issue2392b/compare_psl_p_plus.sby b/testsuite/ghdl-issues/issue2392b/compare_psl_p_plus.sby
new file mode 100644
index 0000000..44cf671
--- /dev/null
+++ b/testsuite/ghdl-issues/issue2392b/compare_psl_p_plus.sby
@@ -0,0 +1,20 @@
+[tasks]
+compare
+cover
+
+[options]
+compare: mode bmc
+cover: mode cover
+depth 100
+
+[engines]
+smtbmc z3
+
+[script]
+read_verilog synth_psl_p_plus.v
+ghdl --std=08 compare_psl_p_plus.vhdl -e compare_psl_p_plus
+prep -top compare_psl_p_plus
+
+[files]
+synth_psl_p_plus.v
+compare_psl_p_plus.vhdl