blob: 7c6b4229c4211a70aecac778b804bd9ac04fec87 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
ENTITY hdmi_driver IS
PORT
(
in_h : in std_logic;
in_l : in std_logic;
clk : in std_logic;
output : out std_logic
);
END hdmi_driver;
ARCHITECTURE beh OF hdmi_driver IS
signal out_v : std_logic_vector(0 downto 0);
signal out_n_v : std_logic_vector(0 downto 0);
signal in_l_v : std_logic_vector(0 downto 0);
signal in_h_v : std_logic_vector(0 downto 0);
BEGIN
output <= out_v(0);
in_l_v(0) <= in_l;
in_h_v(0) <= in_h;
ddio_p : ALTDDIO_OUT
GENERIC MAP (
extend_oe_disable => "OFF",
intended_device_family => "Cyclone IV E",
invert_output => "OFF",
lpm_hint => "UNUSED",
lpm_type => "altddio_out",
oe_reg => "UNREGISTERED",
power_up_high => "OFF",
width => 1
)
PORT MAP (
datain_h => in_h_v,
datain_l => in_l_v,
outclock => clk,
dataout => out_v
);
END beh;
|