summaryrefslogtreecommitdiffstats
path: root/smh-ac415-fpga/lcd_driver/hdmi_driver.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'smh-ac415-fpga/lcd_driver/hdmi_driver.vhdl')
-rw-r--r--smh-ac415-fpga/lcd_driver/hdmi_driver.vhdl52
1 files changed, 52 insertions, 0 deletions
diff --git a/smh-ac415-fpga/lcd_driver/hdmi_driver.vhdl b/smh-ac415-fpga/lcd_driver/hdmi_driver.vhdl
new file mode 100644
index 0000000..7c6b422
--- /dev/null
+++ b/smh-ac415-fpga/lcd_driver/hdmi_driver.vhdl
@@ -0,0 +1,52 @@
+LIBRARY ieee;
+USE ieee.std_logic_1164.all;
+
+LIBRARY altera_mf;
+USE altera_mf.altera_mf_components.all;
+
+ENTITY hdmi_driver IS
+ PORT
+ (
+ in_h : in std_logic;
+ in_l : in std_logic;
+ clk : in std_logic;
+ output : out std_logic
+ );
+END hdmi_driver;
+
+
+ARCHITECTURE beh OF hdmi_driver IS
+
+ signal out_v : std_logic_vector(0 downto 0);
+ signal out_n_v : std_logic_vector(0 downto 0);
+ signal in_l_v : std_logic_vector(0 downto 0);
+ signal in_h_v : std_logic_vector(0 downto 0);
+
+BEGIN
+
+ output <= out_v(0);
+ in_l_v(0) <= in_l;
+ in_h_v(0) <= in_h;
+
+ ddio_p : ALTDDIO_OUT
+ GENERIC MAP (
+ extend_oe_disable => "OFF",
+ intended_device_family => "Cyclone IV E",
+ invert_output => "OFF",
+ lpm_hint => "UNUSED",
+ lpm_type => "altddio_out",
+ oe_reg => "UNREGISTERED",
+ power_up_high => "OFF",
+ width => 1
+ )
+ PORT MAP (
+ datain_h => in_h_v,
+ datain_l => in_l_v,
+ outclock => clk,
+ dataout => out_v
+ );
+
+
+END beh;
+
+