diff options
Diffstat (limited to 'smh-ac415-fpga/lcd_driver/Makefile')
-rw-r--r-- | smh-ac415-fpga/lcd_driver/Makefile | 56 |
1 files changed, 56 insertions, 0 deletions
diff --git a/smh-ac415-fpga/lcd_driver/Makefile b/smh-ac415-fpga/lcd_driver/Makefile new file mode 100644 index 0000000..55c2402 --- /dev/null +++ b/smh-ac415-fpga/lcd_driver/Makefile @@ -0,0 +1,56 @@ +PROJECT = lcd_driver +SOURCE_FILES = lcd_driver.vhdl +ASSIGNMENT_FILES = lcd_driver.qpf lcd_driver.qsf + +BUILD=output_files + +OPENOCD=openocd -f interface/altera-usb-blaster.cfg -f cpld/altera-epm240.cfg + +MAP_ARGS = --smart +FIT_ARGS = +ASM_ARGS = +STA_ARGS = +CPF_ARGS = -c -q 1MHZ -g 3.3 -n p + +SVF=${PROJECT}.svf + + +default: ${SVF} + +${SVF}: ${BUILD}/${PROJECT}.svf + cat $< > $@ || /bin/rm -f $@ + +program: ${SVF} + ${OPENOCD} -c "init; svf $<; exit" + +all: ${BUILD}/$(PROJECT).asm.rpt ${BUILD}/$(PROJECT).sta.rpt ${BUILD}/${PROJECT}.svf + +clean: + rm -rf db ${BUILD} *.orig *.bak incremental_db + +map: ${BUILD}/$(PROJECT).map.rpt +fit: ${BUILD}/$(PROJECT).fit.rpt +asm: ${BUILD}/$(PROJECT).asm.rpt +sta: ${BUILD}/$(PROJECT).sta.rpt + +${BUILD}/$(PROJECT).map.rpt: $(SOURCE_FILES) + run_quartus quartus_map $(MAP_ARGS) ${PROJECT} + +${BUILD}/$(PROJECT).fit.rpt: ${BUILD}/$(PROJECT).map.rpt + run_quartus quartus_fit $(FIT_ARGS) $(PROJECT) + +${BUILD}/$(PROJECT).asm.rpt: ${BUILD}/$(PROJECT).fit.rpt + run_quartus quartus_asm $(ASM_ARGS) $(PROJECT) + +${BUILD}/$(PROJECT).sta.rpt: ${BUILD}/$(PROJECT).fit.rpt + run_quartus quartus_sta $(STA_ARGS) $(PROJECT) + +${BUILD}/$(PROJECT).sof: ${BUILD}/$(PROJECT).asm.rpt + +${BUILD}/$(PROJECT).svf: ${BUILD}/$(PROJECT).sof + run_quartus quartus_cpf ${CPF_ARGS} $< $@ + +tidy: + for i in ${SOURCE_FILES}; do /bin/cp -f $$i $$i.orig && scripts/vhdl-pretty < $$i.orig > $$i; done + + |