summaryrefslogtreecommitdiffstats
path: root/fpga/hp_lcd_driver/ebaz4205.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga/hp_lcd_driver/ebaz4205.xdc')
-rw-r--r--fpga/hp_lcd_driver/ebaz4205.xdc4
1 files changed, 4 insertions, 0 deletions
diff --git a/fpga/hp_lcd_driver/ebaz4205.xdc b/fpga/hp_lcd_driver/ebaz4205.xdc
index 93da55b..f5e5c93 100644
--- a/fpga/hp_lcd_driver/ebaz4205.xdc
+++ b/fpga/hp_lcd_driver/ebaz4205.xdc
@@ -117,6 +117,10 @@ set_property IOSTANDARD LVCMOS33 [get_ports {hsync_in}]
#
#create_clock -period 20.000 -name pcie_clkin [get_ports clk_50m]
##set_false_path -from [get_ports pci_exp_rst_n]
+#
+
+set_false_path -from [get_clocks clk_out4_mmcm_0] -to [get_clocks clk_out1_mmcm_0]
+
###############################################################################