diff options
Diffstat (limited to 'fpga/hp_lcd_driver/common.vhdl')
| -rw-r--r-- | fpga/hp_lcd_driver/common.vhdl | 20 |
1 files changed, 10 insertions, 10 deletions
diff --git a/fpga/hp_lcd_driver/common.vhdl b/fpga/hp_lcd_driver/common.vhdl index 39d1f28..a3e41d4 100644 --- a/fpga/hp_lcd_driver/common.vhdl +++ b/fpga/hp_lcd_driver/common.vhdl @@ -46,7 +46,10 @@ entity common is video_out_index : out std_logic; video_in_addr : out std_logic_vector(addr_width-1 downto 0); video_in_clk : out std_logic; - video_in_data : in std_logic_vector(1 downto 0) + video_in_gate : in std_logic; + video_in_r : in std_logic_vector(7 downto 0); + video_in_g : in std_logic_vector(7 downto 0); + video_in_b : in std_logic_vector(7 downto 0) ); end common; @@ -264,15 +267,12 @@ begin - r <= r_s when video_in_data(1) = '0' else - x"00" when video_in_data(0)='0' else - x"ff"; - g <= g_s when video_in_data(1) = '0' else - x"00" when video_in_data(0)='0' else - x"ff"; - b <= b_s when video_in_data(1) = '0' else - x"00" when video_in_data(0)='0' else - x"ff"; + r <= r_s when video_in_gate = '0' else + video_in_r; + g <= g_s when video_in_gate = '0' else + video_in_g; + b <= b_s when video_in_gate = '0' else + video_in_b; output0 : entity work.output_stage |
