diff options
-rw-r--r-- | fpga/hp_lcd_driver/delay.vhdl | 24 | ||||
-rw-r--r-- | fpga/wxeda/docs/schematic_v200.pdf | bin | 0 -> 141648 bytes | |||
-rw-r--r-- | fpga/wxeda/docs/wxeda.qsf | 161 |
3 files changed, 185 insertions, 0 deletions
diff --git a/fpga/hp_lcd_driver/delay.vhdl b/fpga/hp_lcd_driver/delay.vhdl new file mode 100644 index 0000000..2e777b6 --- /dev/null +++ b/fpga/hp_lcd_driver/delay.vhdl @@ -0,0 +1,24 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity delay is + generic (stages : natural := 2); + port (clk : in std_logic; + i : in std_logic; + o : out std_logic); +end delay; + +architecture Behavioral of delay is + signal flipflops : std_logic_vector(stages-1 downto 0) := (others => '0'); +begin + + o <= flipflops(flipflops'high); + + clk_proc : process(clk, flipflops, i) + begin + if rising_edge(clk) then + flipflops <= flipflops(flipflops'high-1 downto 0) & i; + end if; + end process; + +end Behavioral; diff --git a/fpga/wxeda/docs/schematic_v200.pdf b/fpga/wxeda/docs/schematic_v200.pdf Binary files differnew file mode 100644 index 0000000..a4edf50 --- /dev/null +++ b/fpga/wxeda/docs/schematic_v200.pdf diff --git a/fpga/wxeda/docs/wxeda.qsf b/fpga/wxeda/docs/wxeda.qsf new file mode 100644 index 0000000..8214a87 --- /dev/null +++ b/fpga/wxeda/docs/wxeda.qsf @@ -0,0 +1,161 @@ + + + + +# bank 1 +set_location_assignment PIN_1 -to led_4 +#set_location_assignment PIN_1 -to ss_c +set_location_assignment PIN_2 -to led_3 +#set_location_assignment PIN_2 -to ss_g +set_location_assignment PIN_3 -to led_2 +#set_location_assignment PIN_3 -to ss_dp +#4 GND +#5 VCC +#6 EPCS ASDI +set_location_assignment PIN_7 -to flash_di +#8 EPCS nCS +#9 nSTATUS +set_location_assignment PIN_10 -to flash_clk +set_location_assignment PIN_11 -to flash_ncs +#12 EPCS DCLK +#13 EPCS_DATA +#14 nCONFIG +#15 TDI +#16 TCK +#17 VCC +#18 TMS +#19 GND +#20 TDO +#21 nCE +#22 GND +# clocks 1 +set_location_assignment PIN_23 -to flash_do +set_location_assignment PIN_24 -to clk_48m +set_location_assignment PIN_25 -to tmp1 +#26 VCC +#27 GND +#bank 2 +set_location_assignment PIN_28 -to dram_dq[1] +#29 VCC +set_location_assignment PIN_30 -to dram_dq[0] +set_location_assignment PIN_31 -to dram_dq[3] +set_location_assignment PIN_32 -to dram_dq[2] +set_location_assignment PIN_33 -to dram_dq[4] +set_location_assignment PIN_34 -to dram_dq[5] +#35 VCC +#36 GND +#37 VCC +#bank 3 +set_location_assignment PIN_38 -to dram_dq[6] +set_location_assignment PIN_39 -to dram_dq[7] +#40 VCC +#41 GND +set_location_assignment PIN_42 -to dram_ldqm +set_location_assignment PIN_43 -to dram_we_n +set_location_assignment PIN_44 -to dram_cas_n +#45 VCC +set_location_assignment PIN_46 -to dram_ras_n +set_location_assignment PIN_49 -to dram_dq[15] +set_location_assignment PIN_50 -to dram_dq[14] +set_location_assignment PIN_51 -to dram_dq[13] +set_location_assignment PIN_52 -to dram_dq[12] +set_location_assignment PIN_53 -to dram_dq[11] +#bank 4 +set_location_assignment PIN_54 -to dram_dq[10] +set_location_assignment PIN_55 -to dram_dq[9] +#56 VCC +#57 GND +set_location_assignment PIN_58 -to dram_dq[8] +set_location_assignment PIN_59 -to dram_udqm +set_location_assignment PIN_60 -to dram_clk +#61 VCC +#62 VCC +#63 GND +set_location_assignment PIN_64 -to dram_cke +set_location_assignment PIN_65 -to dram_addr[12] +set_location_assignment PIN_66 -to dram_addr[11] +set_location_assignment PIN_67 -to dram_addr[9] +set_location_assignment PIN_68 -to dram_addr[8] +set_location_assignment PIN_69 -to dram_addr[7] +set_location_assignment PIN_70 -to dram_addr[6] +set_location_assignment PIN_71 -to dram_addr[5] +set_location_assignment PIN_72 -to dram_addr[4] +# bank 5 +set_location_assignment PIN_73 -to dram_ba_0 +set_location_assignment PIN_74 -to dram_cs_n +set_location_assignment PIN_75 -to dram_ba_1 +set_location_assignment PIN_76 -to dram_addr[10] +set_location_assignment PIN_77 -to dram_addr[0] +#78 VCC +#79 GND +set_location_assignment PIN_80 -to dram_addr[1] +#81 VCC +#82 GND +set_location_assignment PIN_83 -to dram_addr[2] +set_location_assignment PIN_84 -to dram_addr[3] +set_location_assignment PIN_85 -to bp1 +set_location_assignment PIN_86 -to uart_txd +set_location_assignment PIN_87 -to uart_rxd +# clocks 2 +set_location_assignment PIN_88 -to key_2 +set_location_assignment PIN_89 -to key_3 +set_location_assignment PIN_90 -to key_4 +set_location_assignment PIN_91 -to key_1 +#92 CONF_DONE +#93 VCC +#94 MSEL0 +#95 GND +#96 MSEL1 +#97 MSEL2 +# bank 6 +set_location_assignment PIN_98 -to ps2_dat +set_location_assignment PIN_99 -to ps2_clk +set_location_assignment PIN_100 -to vga_hsync +set_location_assignment PIN_101 -to vga_vsync +#102 VCC +set_location_assignment PIN_103 -to vga_b[0] +set_location_assignment PIN_104 -to vga_b[1] +set_location_assignment PIN_105 -to vga_b[2] +set_location_assignment PIN_106 -to vga_b[3] +#107 VCC +#108 GND +#109 VCC +#bank 7 +set_location_assignment PIN_110 -to vga_b[4] +set_location_assignment PIN_111 -to vga_g[0] +set_location_assignment PIN_112 -to vga_g[1] +set_location_assignment PIN_113 -to vga_g[2] +set_location_assignment PIN_114 -to vga_g[3] +set_location_assignment PIN_115 -to vga_g[4] +#116 VCC +#117 VCC +#118 GND +set_location_assignment PIN_119 -to vga_g[5] +set_location_assignment PIN_120 -to vga_r[0] +set_location_assignment PIN_121 -to vga_r[1] +set_location_assignment PIN_121 -to vga_r[2] +#122 VCC +#123 GND +set_location_assignment PIN_125 -to vga_r[3] +set_location_assignment PIN_126 -to vga_r[4] +set_location_assignment PIN_127 -to adc_clk +#bank 8 +set_location_assignment PIN_128 -to adc_dat +set_location_assignment PIN_129 -to adc_ncs +#130 VCC +#131 GND +set_location_assignment PIN_132 -to ir +set_location_assignment PIN_133 -to ss_anode[3] +#134 VCC +set_location_assignment PIN_135 -to ss_anode[1] +set_location_assignment PIN_136 -to ss_anode[2] +set_location_assignment PIN_137 -to ss_anode[0] +set_location_assignment PIN_138 -to ss_f +#139 VCC +#140 GND +set_location_assignment PIN_141 -to led_5 +#set_location_assignment PIN_141 -to ss_d +set_location_assignment PIN_142 -to ss_e +set_location_assignment PIN_143 -to ss_a +set_location_assignment PIN_144 -to ss_b +#145 (pad) GND |